SLV_NO_MORE_DATA_OUT => slv_no_more_data(8),
SLV_UNKNOWN_ADDR_OUT => slv_unknown_addr(8));
-
+ --set unused signals to board 0
+ fpga_led_to_board <= (others => '0');
+ fpga_aux_to_board <= (others => '0');
+
end Behavioral;
---------------------------------------------------------------------------
-- END SensorBoard MuPix
---------------------------------------------------------------------------
-
+ not_connected : out std_logic_vector(25 downto 0);
--Flash ROM & Reboot
FLASH_CLK : out std_logic;
begin
+
+ --tie not connected outputs to 0
+ not_connected(25 downto 18) <= (others => '0');
+ not_connected(16 downto 0) <= (others => '0');
---------------------------------------------------------------------------
-- Reset Generation
---------------------------------------------------------------------------