return hub_mii_config_t;
function calc_downlink_number (DOWNLINK_NUM : integer)
- return hub_mii_config_t;
-
+ return hub_mii_config_t;
+
function calc_uplink_only_number (DOWNLINK_NUM : integer;
INT_NUM : integer)
return hub_mii_config_t;
-
- function calc_number_data_hubs (MII_NUMBER : integer)
- return integer;
-
- function calc_ports_data_hub (MII_NUMBER : integer; HUB : integer)
- return integer;
-
- function calc_number_config_hub_ports (MII_NUMBER : integer)
- return integer;
- function calc_datahub_downlink_number (DOWNLINK_NUM : integer;
- HUB : integer)
- return hub_mii_config_t;
-
- function calc_datahub_uplink_number (DOWNLINK_NUM : integer;
- HUB : integer)
- return hub_mii_config_t;
-
end package cri_hub_func;
package body cri_hub_func is
function calc_uplink_number (DOWNLINK_NUM : integer; -- DOWNLINK_NUM is number of Downlinks ! Automaticly inserts one uplink at end
INT_NUM : integer) -- Number of Internal Interfaces
- return hub_mii_config_t is -- 0 1 2 3 4 5 6 7 8 9 a b c d e f
+ return hub_mii_config_t is -- 0 1 2 3 4 5 6 7 8 9 a b c d e f
variable tmp : hub_mii_config_t := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
begin
-
+
for i in 0 to 16 loop
- if (i = DOWNLINK_NUM) then
+ if (i = DOWNLINK_NUM) then
tmp(i) := 1;
elsif ((INT_NUM > 0) and (i = DOWNLINK_NUM + INT_NUM + 1)) then -- INT_NUM is after Uplink and hub control channel
- tmp(i) := 1;
- else
+ tmp(i) := 1;
+ else
tmp(i) := 0;
end if;
end loop;
end function;
function calc_downlink_number (DOWNLINK_NUM : integer)
- return hub_mii_config_t is -- 0 1 2 3 4 5 6 7 8 9 a b c d e f
+ return hub_mii_config_t is -- 0 1 2 3 4 5 6 7 8 9 a b c d e f
variable tmp : hub_mii_config_t := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
begin
-
+
for i in 0 to 16 loop
- if (i = DOWNLINK_NUM) or (i > (DOWNLINK_NUM+1)) then
+ if (i = DOWNLINK_NUM) or (i > (DOWNLINK_NUM+1)) then
tmp(i) := 0;
- else
+ else
tmp(i) := 1;
end if;
end loop;
return tmp;
- end function;
+ end function;
function calc_uplink_only_number (DOWNLINK_NUM : integer;
INT_NUM : integer)
return hub_mii_config_t is
begin
-
- return calc_uplink_number(DOWNLINK_NUM, INT_NUM);
- end function;
-
- function calc_number_data_hubs (MII_NUMBER : integer) -- ALl links. first N-1 are downlinks, last is uplink!
- return integer is
- variable tmp : integer := 0;
- begin
- if (MII_NUMBER < 2) then
- tmp := 1;
- else
- tmp := ((MII_NUMBER-2) / 12) + 1;
- end if;
-
- return tmp;
- end function;
-
- function calc_ports_data_hub (MII_NUMBER : integer;
- HUB : integer) -- ALl links. first N-1 are downlinks, last is uplink!
- return integer is
- variable tmp : integer := 0;
- begin
- if HUB = (calc_number_data_hubs(MII_NUMBER)-1) then
- if ((MII_NUMBER-1) mod 12) = 0 then
- tmp := 12;
- else
- tmp := ((MII_NUMBER-1) mod 12);
- end if;
- else
- tmp := 12;
- end if;
- return tmp;
- end function;
-
- function calc_number_config_hub_ports (MII_NUMBER : integer) -- ALl links. first N-1 are downlinks, last is uplink!
- return integer is
- variable tmp : integer := 0;
- begin
- tmp := calc_number_data_hubs(MII_NUMBER) + 1;
-
- return tmp;
- end function;
- function calc_datahub_downlink_number (DOWNLINK_NUM : integer;
- HUB : integer)
- return hub_mii_config_t is -- 0 1 2 3 4 5 6 7 8 9 a b c d e f
- variable tmp : hub_mii_config_t := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
- variable needed_links : integer := 0;
- begin
- if (((HUB+1)*12) <= DOWNLINK_NUM ) then
- tmp := calc_downlink_number(12);
- else
- needed_links := (DOWNLINK_NUM mod 12);
- tmp := calc_downlink_number(needed_links);
- end if;
- return tmp;
- end function;
-
- function calc_datahub_uplink_number (DOWNLINK_NUM : integer;
- HUB : integer)
- return hub_mii_config_t is -- 0 1 2 3 4 5 6 7 8 9 a b c d e f
- variable tmp : hub_mii_config_t := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
- variable needed_links : integer := 0;
- begin
- if (((HUB+1)*12) <= DOWNLINK_NUM ) then
- tmp := calc_uplink_number(12,0);
- else
- needed_links := (DOWNLINK_NUM mod 12);
- tmp := calc_uplink_number(needed_links,0);
- end if;
- return tmp;
+ return calc_uplink_number(DOWNLINK_NUM, INT_NUM);
end function;
end package body;
architecture trb_net16_cri_hub_arch of trb_net16_cri_hub is
+ constant HUB_CONTROLLER_NUM : integer := 3;--calc_number_config_hub_ports(MII_NUMBER);
signal hub_init_dataready_out : std_logic_vector( INT_NUMBER downto 0);
signal hub_reply_dataready_out : std_logic_vector( INT_NUMBER downto 0);
signal hub_init_dataready_in : std_logic_vector( INT_NUMBER downto 0);
signal hub_init_packet_num_in : std_logic_vector( INT_NUMBER*c_NUM_WIDTH downto 0);
signal hub_reply_packet_num_in : std_logic_vector( INT_NUMBER*c_NUM_WIDTH downto 0);
- signal int_med_dataready_out : std_logic_vector (calc_number_config_hub_ports(MII_NUMBER)-2 downto 0);
- signal int_med_data_out : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*16-1 downto 0);
- signal int_med_packet_num_out : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*3-1 downto 0);
- signal int_med_read_in : std_logic_vector (calc_number_config_hub_ports(MII_NUMBER)-2 downto 0);
+ signal int_med_dataready_out : std_logic_vector (HUB_CONTROLLER_NUM-2 downto 0);
+ signal int_med_data_out : std_logic_vector ((HUB_CONTROLLER_NUM-1)*16-1 downto 0);
+ signal int_med_packet_num_out : std_logic_vector ((HUB_CONTROLLER_NUM-1)*3-1 downto 0);
+ signal int_med_read_in : std_logic_vector (HUB_CONTROLLER_NUM-2 downto 0);
- signal int_med_dataready_in : std_logic_vector (calc_number_config_hub_ports(MII_NUMBER)-2 downto 0);
- signal int_med_data_in : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*16-1 downto 0);
- signal int_med_packet_num_in : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*3-1 downto 0);
- signal int_med_read_out : std_logic_vector (calc_number_config_hub_ports(MII_NUMBER)-2 downto 0);
+ signal int_med_dataready_in : std_logic_vector (HUB_CONTROLLER_NUM-2 downto 0);
+ signal int_med_data_in : std_logic_vector ((HUB_CONTROLLER_NUM-1)*16-1 downto 0);
+ signal int_med_packet_num_in : std_logic_vector ((HUB_CONTROLLER_NUM-1)*3-1 downto 0);
+ signal int_med_read_out : std_logic_vector (HUB_CONTROLLER_NUM-2 downto 0);
- signal int_med_stat_op : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*16-1 downto 0);
- signal int_med_ctrl_op : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*16-1 downto 0);
+ signal int_med_stat_op : std_logic_vector ((HUB_CONTROLLER_NUM-1)*16-1 downto 0);
+ signal int_med_ctrl_op : std_logic_vector ((HUB_CONTROLLER_NUM-1)*16-1 downto 0);
- signal bus_hub_dbg_0_rx, bus_hub_dbg_1_rx : CTRLBUS_RX;
- signal bus_hub_dbg_0_tx, bus_hub_dbg_1_tx : CTRLBUS_TX;
+ signal bus_hub_dbg_rx_i : ctrlbus_rx_array_t(0 to HUB_CONTROLLER_NUM-1);--calc_number_data_hubs(MII_NUMBER));
+ signal bus_hub_dbg_tx_i : ctrlbus_tx_array_t(0 to HUB_CONTROLLER_NUM-1);--calc_number_data_hubs(MII_NUMBER));
- signal loc_stat_op : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*16-1 downto 0);
- signal loc_ctrl_op : std_logic_vector ((calc_number_config_hub_ports(MII_NUMBER)-1)*16-1 downto 0);
+ signal loc_stat_op : std_logic_vector ((HUB_CONTROLLER_NUM-1)*16-1 downto 0);
+ signal loc_ctrl_op : std_logic_vector ((HUB_CONTROLLER_NUM-1)*16-1 downto 0);
signal buf_DATA_ACTIVE : std_logic_vector(MII_NUMBER-2 downto 0);
signal buf_DATA_OUT : std_logic_vector(((MII_NUMBER-1)*(2*c_DATA_WIDTH))-1 downto 0);
signal HUB_MED_CTRL_OP : std_logic_vector(MII_NUMBER*16-1 downto 0);
signal buf_HUB_STAT_GEN : std_logic_vector (31 downto 0);
+ constant MAX_HUB_SIZE : integer := (MII_NUMBER-1)/2;
+
begin
- gen_unused_signals : if 1 =1 generate
- constant HUB_CONTROLLER_NUM : integer := calc_number_config_hub_ports(MII_NUMBER);
+ gen_hubController : if 1 = 1 generate
begin
THE_HUB_CONTROLLER : entity work.trb_net16_cri_hub_base
generic map(
CTRL_DEBUG(31 downto 3) => (others => '0'),
STAT_DEBUG => open,
- BUS_HUB_DBG_RX => bus_hub_dbg_0_rx,
- BUS_HUB_DBG_TX => bus_hub_dbg_0_tx
+ BUS_HUB_DBG_RX => bus_hub_dbg_rx_i(0),
+ BUS_HUB_DBG_TX => bus_hub_dbg_tx_i(0)
);
end generate;
-gen_data_hubs : for i in 0 to calc_number_data_hubs(MII_NUMBER)-1 generate --MII_NUMBER = Down- and Uplinks
- constant links : integer := calc_ports_data_hub(MII_NUMBER,i)+1;
- constant downlinks : integer := calc_ports_data_hub(MII_NUMBER,i);
+
+gen_data_hubs : for i in 0 to 1 generate --For now fixed to 1 (2 hubs), could be set to HUB_CONTROLLER_NUM-2 with additional corrections
+ constant links : integer := (((MII_NUMBER-1) / 2) + 1);
+ constant downlinks : integer := ((MII_NUMBER-1) / 2);
signal data_active_unused : std_logic;
signal data_out_unused : std_logic_vector(2*c_DATA_WIDTH-1 downto 0);
signal data_seqnmbr_unused : std_logic_vector(7 downto 0);
signal data_length_unused : std_logic_vector(c_DATA_WIDTH-1 downto 0);
begin
-THE_HUB_1 : entity work.trb_net16_cri_hub_base
+THE_HUB_DATA : entity work.trb_net16_cri_hub_base
generic map(
HUB_USED_CHANNELS => (0,1,0,1),
INIT_ADDRESS => INIT_ADDRESS,
MII_NUMBER => links,
- MII_IS_UPLINK => calc_datahub_uplink_number(downlinks,i),
- MII_IS_DOWNLINK => calc_datahub_downlink_number(downlinks,i),
- MII_IS_UPLINK_ONLY => calc_datahub_uplink_number(downlinks,i),
+ MII_IS_UPLINK => calc_uplink_number(downlinks,0),
+ MII_IS_DOWNLINK => calc_downlink_number(downlinks),
+ MII_IS_UPLINK_ONLY => calc_uplink_number(downlinks,0),
--USE_ONEWIRE => c_YES,
HARDWARE_VERSION => HARDWARE_VERSION,
INCLUDED_FEATURES => INCLUDED_FEATURES,
CLK_EN => '1',
--Media interfacces
- MED_DATAREADY_OUT(links-2 downto 0) => MED_DATAREADY_OUT((downlinks-1)+i*12 downto i*12),
+ MED_DATAREADY_OUT(links-2 downto 0) => MED_DATAREADY_OUT((downlinks-1)+i*MAX_HUB_SIZE downto i*MAX_HUB_SIZE),
MED_DATAREADY_OUT(links-1) => int_med_dataready_in(i),
- MED_DATA_OUT((links-1)*16-1 downto 0) => MED_DATA_OUT((downlinks*16)-1+i*12*16 downto i*12*16),
+ MED_DATA_OUT((links-1)*16-1 downto 0) => MED_DATA_OUT((downlinks*16)-1+i*MAX_HUB_SIZE*16 downto i*MAX_HUB_SIZE*16),
MED_DATA_OUT(links*16-1 downto (links-1)*16) => int_med_data_in(i*16+15 downto i*16),
- MED_PACKET_NUM_OUT((links-1)*3-1 downto 0) => MED_PACKET_NUM_OUT((downlinks*3)-1+i*12*3 downto i*12*3),
+ MED_PACKET_NUM_OUT((links-1)*3-1 downto 0) => MED_PACKET_NUM_OUT((downlinks*3)-1+i*MAX_HUB_SIZE*3 downto i*MAX_HUB_SIZE*3),
MED_PACKET_NUM_OUT(links*3-1 downto (links-1)*3) => int_med_packet_num_in(i*3+2 downto i*3),
- MED_READ_IN((links-1)*1-1 downto 0) => MED_READ_IN((downlinks-1)+i*12 downto i*12),
+ MED_READ_IN((links-1)*1-1 downto 0) => MED_READ_IN((downlinks-1)+i*MAX_HUB_SIZE downto i*MAX_HUB_SIZE),
MED_READ_IN(links-1) => int_med_read_out(i),
- MED_DATAREADY_IN((links-1)*1-1 downto 0) => MED_DATAREADY_IN((downlinks-1)+i*12 downto i*12),
+ MED_DATAREADY_IN((links-1)*1-1 downto 0) => MED_DATAREADY_IN((downlinks-1)+i*MAX_HUB_SIZE downto i*MAX_HUB_SIZE),
MED_DATAREADY_IN(links-1) => int_med_dataready_out(i),
- MED_DATA_IN((links-1)*16-1 downto 0) => MED_DATA_IN((downlinks*16)-1+i*12*16 downto i*12*16),
+ MED_DATA_IN((links-1)*16-1 downto 0) => MED_DATA_IN((downlinks*16)-1+i*MAX_HUB_SIZE*16 downto i*MAX_HUB_SIZE*16),
MED_DATA_IN((links)*16-1 downto (links-1)*16) => int_med_data_out(i*16+15 downto i*16),
- MED_PACKET_NUM_IN((links-1)*3-1 downto 0) => MED_PACKET_NUM_IN((downlinks*3)-1+i*12*3 downto i*12*3),
+ MED_PACKET_NUM_IN((links-1)*3-1 downto 0) => MED_PACKET_NUM_IN((downlinks*3)-1+i*MAX_HUB_SIZE*3 downto i*MAX_HUB_SIZE*3),
MED_PACKET_NUM_IN((links)*3-1 downto (links-1)*3) => int_med_packet_num_out(i*3+2 downto i*3),
- MED_READ_OUT((links-1)*1-1 downto 0) => MED_READ_OUT((downlinks-1)+i*12 downto i*12),
+ MED_READ_OUT((links-1)*1-1 downto 0) => MED_READ_OUT((downlinks-1)+i*MAX_HUB_SIZE downto i*MAX_HUB_SIZE),
MED_READ_OUT(links-1) => int_med_read_in(i),
- MED_STAT_OP((links-1)*16-1 downto 0) => MED_STAT_OP((downlinks*16)-1+i*12*16 downto i*12*16),
+ MED_STAT_OP((links-1)*16-1 downto 0) => MED_STAT_OP((downlinks*16)-1+i*MAX_HUB_SIZE*16 downto i*MAX_HUB_SIZE*16),
MED_STAT_OP((links)*16-1 downto (links-1)*16) => loc_stat_op(i*16+15 downto i*16), --input
- MED_CTRL_OP((links-1)*16-1 downto 0) => HUB_MED_CTRL_OP((downlinks*16)-1+i*12*16 downto i*12*16),
+ MED_CTRL_OP((links-1)*16-1 downto 0) => HUB_MED_CTRL_OP((downlinks*16)-1+i*MAX_HUB_SIZE*16 downto i*MAX_HUB_SIZE*16),
MED_CTRL_OP((links)*16-1 downto (links-1)*16) => loc_ctrl_op(i*16+15 downto i*16), --output
DATA_ACTIVE(links-1) => data_active_unused,
- DATA_ACTIVE((downlinks-1) downto 0) => buf_DATA_ACTIVE((downlinks-1)+i*12 downto i*12),
+ DATA_ACTIVE((downlinks-1) downto 0) => buf_DATA_ACTIVE((downlinks-1)+i*MAX_HUB_SIZE downto i*MAX_HUB_SIZE),
DATA_OUT(links*2*c_DATA_WIDTH-1 downto downlinks*2*c_DATA_WIDTH) => data_out_unused,
- DATA_OUT((downlinks*(2*c_DATA_WIDTH)-1) downto 0) => buf_DATA_OUT((downlinks*(2*c_DATA_WIDTH)-1)+i*12*(2*c_DATA_WIDTH) downto i*12*(2*c_DATA_WIDTH)),
+ DATA_OUT((downlinks*(2*c_DATA_WIDTH)-1) downto 0) => buf_DATA_OUT((downlinks*(2*c_DATA_WIDTH)-1)+i*MAX_HUB_SIZE*(2*c_DATA_WIDTH) downto i*MAX_HUB_SIZE*(2*c_DATA_WIDTH)),
DATA_READY(links-1) => data_ready_unused,
- DATA_READY((downlinks-1) downto 0) => buf_DATA_READY((downlinks-1)+i*12 downto i*12),
+ DATA_READY((downlinks-1) downto 0) => buf_DATA_READY((downlinks-1)+i*MAX_HUB_SIZE downto i*MAX_HUB_SIZE),
DATA_ADDRESS_SENDER(links*c_DATA_WIDTH-1 downto downlinks*c_DATA_WIDTH) => data_address_sender_unused,
- DATA_ADDRESS_SENDER((downlinks*c_DATA_WIDTH-1) downto 0) => buf_DATA_ADDRESS_SENDER((downlinks*c_DATA_WIDTH-1)+i*12*c_DATA_WIDTH downto i*12*c_DATA_WIDTH),
+ DATA_ADDRESS_SENDER((downlinks*c_DATA_WIDTH-1) downto 0) => buf_DATA_ADDRESS_SENDER((downlinks*c_DATA_WIDTH-1)+i*MAX_HUB_SIZE*c_DATA_WIDTH downto i*MAX_HUB_SIZE*c_DATA_WIDTH),
DATA_SEQNMBR(links*8-1 downto downlinks*8) => data_seqnmbr_unused,
- DATA_SEQNMBR((downlinks*8-1) downto 0) => buf_DATA_SEQNMBR((downlinks*8-1)+i*12*8 downto i*12*8),
+ DATA_SEQNMBR((downlinks*8-1) downto 0) => buf_DATA_SEQNMBR((downlinks*8-1)+i*MAX_HUB_SIZE*8 downto i*MAX_HUB_SIZE*8),
DATA_LENGTH(links*c_DATA_WIDTH-1 downto downlinks*c_DATA_WIDTH) => data_length_unused,
- DATA_LENGTH((downlinks*c_DATA_WIDTH-1) downto 0) => buf_DATA_LENGTH((downlinks*c_DATA_WIDTH-1)+i*12*c_DATA_WIDTH downto i*12*c_DATA_WIDTH),
+ DATA_LENGTH((downlinks*c_DATA_WIDTH-1) downto 0) => buf_DATA_LENGTH((downlinks*c_DATA_WIDTH-1)+i*MAX_HUB_SIZE*c_DATA_WIDTH downto i*MAX_HUB_SIZE*c_DATA_WIDTH),
ONEWIRE_DATA => ONEWIRE_DATA,
ONEWIRE_ADDR => ONEWIRE_ADDR,
CTRL_DEBUG => (others => '0'),
STAT_DEBUG => open,
- BUS_HUB_DBG_RX => bus_hub_dbg_1_rx,
- BUS_HUB_DBG_TX => bus_hub_dbg_1_tx
+ BUS_HUB_DBG_RX => bus_hub_dbg_rx_i(i + 1),
+ BUS_HUB_DBG_TX => bus_hub_dbg_tx_i(i + 1)
);
-- int_med_dataready_in(3 downto 1) <= (others => '0');
gen_cri_data_handler : if (HUB_USED_CHANNELS(c_DATA_CHANNEL) = c_YES) generate
THE_CRI_DATA_REC_BUS_HANDLER : entity work.trb_net16_regio_bus_handler_record
generic map(
- PORT_NUMBER => 10,
- PORT_ADDRESSES => (0 => x"0000", 1 => x"0100", 2 => x"0200", 3 => x"0300", 4 => x"0400", 5 => x"0500", 6 => x"0600", 7 => x"0700",
- 8 => x"0800", 9 => x"0900", others => x"0000"),
- PORT_ADDR_MASK => (0 => 8, 1 => 8, 2 => 8, 3 => 8, 4 => 8, 5 => 8, 6 => 8, 7 => 8,
- 8 => 8, 9 => 8, others => 0),
+ PORT_NUMBER => 1,
+ PORT_ADDRESSES => (0 => x"0000", --1 => x"0100", 2 => x"0200", 3 => x"0300", 4 => x"0400", 5 => x"0500", 6 => x"0600", 7 => x"0700",
+ --8 => x"0800", 9 => x"0900", others => x"0000"),
+ others => x"0000"),
+ PORT_ADDR_MASK => (0 => 8, -- 1 => 8, 2 => 8, 3 => 8, 4 => 8, 5 => 8, 6 => 8, 7 => 8,
+ --8 => 8, 9 => 8, others => 0),
+ others => 0),
PORT_MASK_ENABLE => 1
)
port map(
REGIO_TX => BUS_HUB_DBG_TX,
BUS_RX(0) => bus_cri_data_rec_rx(0), --Flash, SPI, UART, ADC, SED
- BUS_RX(1) => bus_cri_data_rec_rx(1),
- BUS_RX(2) => bus_cri_data_rec_rx(2),
- BUS_RX(3) => bus_cri_data_rec_rx(3),
- BUS_RX(4) => bus_cri_data_rec_rx(4),
- BUS_RX(5) => bus_cri_data_rec_rx(5),
- BUS_RX(6) => bus_cri_data_rec_rx(6),
- BUS_RX(7) => bus_cri_data_rec_rx(7),
- BUS_RX(8) => bus_cri_data_rec_rx(8),
- BUS_RX(9) => bus_cri_data_rec_rx(9),
+ --BUS_RX(1) => bus_cri_data_rec_rx(1),
+ --BUS_RX(2) => bus_cri_data_rec_rx(2),
+ --BUS_RX(3) => bus_cri_data_rec_rx(3),
+ --BUS_RX(4) => bus_cri_data_rec_rx(4),
+ --BUS_RX(5) => bus_cri_data_rec_rx(5),
+ --BUS_RX(6) => bus_cri_data_rec_rx(6),
+ --BUS_RX(7) => bus_cri_data_rec_rx(7),
+ --BUS_RX(8) => bus_cri_data_rec_rx(8),
+ --BUS_RX(9) => bus_cri_data_rec_rx(9),
BUS_TX(0) => bus_cri_data_rec_tx(0),
- BUS_TX(1) => bus_cri_data_rec_tx(1),
- BUS_TX(2) => bus_cri_data_rec_tx(2),
- BUS_TX(3) => bus_cri_data_rec_tx(3),
- BUS_TX(4) => bus_cri_data_rec_tx(4),
- BUS_TX(5) => bus_cri_data_rec_tx(5),
- BUS_TX(6) => bus_cri_data_rec_tx(6),
- BUS_TX(7) => bus_cri_data_rec_tx(7),
- BUS_TX(8) => bus_cri_data_rec_tx(8),
- BUS_TX(9) => bus_cri_data_rec_tx(9),
+ --BUS_TX(1) => bus_cri_data_rec_tx(1),
+ --BUS_TX(2) => bus_cri_data_rec_tx(2),
+ --BUS_TX(3) => bus_cri_data_rec_tx(3),
+ --BUS_TX(4) => bus_cri_data_rec_tx(4),
+ --BUS_TX(5) => bus_cri_data_rec_tx(5),
+ --BUS_TX(6) => bus_cri_data_rec_tx(6),
+ --BUS_TX(7) => bus_cri_data_rec_tx(7),
+ --BUS_TX(8) => bus_cri_data_rec_tx(8),
+ --BUS_TX(9) => bus_cri_data_rec_tx(9),
STAT_DEBUG => open
);
end generate;