-- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO;
--Which external trigger module (ETM) to use?
constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES;
- type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B);
+ type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON);
constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM;
constant ETM_ID : std_logic_vector(7 downto 0);
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
+ t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
-- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO;
--Which external trigger module (ETM) to use?
constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES;
- type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON);
+ type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON);
constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_MBS_VULOM;
constant ETM_ID : std_logic_vector(7 downto 0);
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
+ t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
+ t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
-- constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO;
--Which external trigger module (ETM) to use?
constant INCLUDE_ETM : integer range c_NO to c_YES := c_YES;
- type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B);
+ type ETM_CHOICE_type is (ETM_CHOICE_MBS_VULOM, ETM_CHOICE_MAINZ_A2, ETM_CHOICE_CBMNET, ETM_CHOICE_M26, ETM_CHOICE_R3B, ETM_CHOICE_SPILLMON);
constant ETM_CHOICE : ETM_CHOICE_type := ETM_CHOICE_R3B;
constant ETM_ID : std_logic_vector(7 downto 0);
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
+ t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
------------------------------------------------------------------------------
constant cts_rdo_additional_ports : integer := INCLUDE_TDC + INCLUDE_TIMESTAMP_GENERATOR + INCLUDE_ETM; --for TDC
- constant HW_INFO_BASE : unsigned(31 downto 0) := x"9500A000";
+ constant HW_INFO_BASE : unsigned(31 downto 0) := x"9500B000";
constant CLOCK_FREQUENCY_ARR : intlist_t := (100,120, others => 0);
constant MEDIA_FREQUENCY_ARR : intlist_t := (200,240, others => 0);
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
+ t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));
t(23 downto 23) := std_logic_vector(to_unsigned(INCLUDE_GBE,1));
t(26 downto 24) := std_logic_vector(to_unsigned(SFP_NUM_ARR(CFG_MODE),3)); --num SFPs with TrbNet
t(28 downto 28) := std_logic_vector(to_unsigned(USE_BACKPLANE,1));
+ t(39 downto 39) := std_logic_vector(to_unsigned(1,1)); --contains CTS
t(40 downto 40) := std_logic_vector(to_unsigned(INCLUDE_LCD,1));
t(42 downto 42) := std_logic_vector(to_unsigned(INCLUDE_SPI,1));
t(43 downto 43) := std_logic_vector(to_unsigned(INCLUDE_UART,1));