signal sfp_los_i, sfp_txdis_i, sfp_prsnt_i : std_logic;
- type a_t is array(1 to 16) of std_logic_vector(6000 downto 0);
- signal c : a_t;
- attribute syn_keep of c : signal is true;
- attribute syn_preserve of c : signal is true;
+ --type a_t is array(1 to 16) of std_logic_vector(6000 downto 0);
+ --signal c : a_t;
+ --attribute syn_keep of c : signal is true;
+ --attribute syn_preserve of c : signal is true;
attribute syn_keep of GSR_N : signal is true;
attribute syn_preserve of GSR_N : signal is true;
--Trigger & Monitor
MONITOR_INPUTS => INP,--KEL(32 downto 1),--(others => '0'),
TRIG_GEN_INPUTS => INP,--KEL(32 downto 1),--(others => '0'),
- TRIG_GEN_OUTPUTS => X(4 downto 1),--open,
+ TRIG_GEN_OUTPUTS => X(8 downto 5),--open,
--SED
SED_ERROR_OUT => sed_error_i,
--Slowcontrol