-- APL Control port
APL_RUN_OUT => HC_RUN_OUT,
APL_MY_ADDRESS_IN => HUB_ADDRESS,
+ APL_MY_BROADCAST_IN => CONF_ADDRESSES(23 downto 16),
APL_SEQNR_OUT => HC_SEQNR_OUT(7 downto 0),
APL_LENGTH_IN => (others => '1'),
-- Internal direction port
RESET : in std_logic;\r
SDA : inout std_logic;\r
SCL : inout std_logic;\r
+ SDA_BUS : inout std_logic_vector(15 downto 0); -- bit 0 not used, is normal link\r
+ SCL_BUS : out std_logic_vector(15 downto 0); -- bit 0 not used, is normal link\r
BUS_RX : in CTRLBUS_RX;\r
BUS_TX : out CTRLBUS_TX\r
);\r