signal io_dataready_in : std_logic_vector(3 downto 0);
signal io_read_out : std_logic_vector(3 downto 0);
-signal io_data_in : std_logic_vector(15 downto 0);
-signal io_packet_num_in : std_logic_vector(2 downto 0);
+signal io_data_in : std_logic_vector(4*16-1 downto 0);
+signal io_packet_num_in : std_logic_vector(4*3-1 downto 0);
signal io_error_in : std_logic_vector(2 downto 0);
signal reset_i : std_logic;
MED_REPLY_READ_IN => io_read_in(1),
MED_DATAREADY_IN => io_dataready_in(0),
- MED_DATA_IN => io_data_in,
- MED_PACKET_NUM_IN => io_packet_num_in,
+ MED_DATA_IN => io_data_in(15 downto 0),
+ MED_PACKET_NUM_IN => io_packet_num_in(2 downto 0),
MED_READ_OUT => io_read_out(0),
MED_ERROR_IN => io_error_in,
MED_REPLY_READ_IN => io_read_in(3),
MED_DATAREADY_IN => io_dataready_in(1),
- MED_DATA_IN => io_data_in,
- MED_PACKET_NUM_IN => io_packet_num_in,
+ MED_DATA_IN => io_data_in(31 downto 16),
+ MED_PACKET_NUM_IN => io_packet_num_in(5 downto 3),
MED_READ_OUT => io_read_out(1),
MED_ERROR_IN => io_error_in,
MED_REPLY_PACKET_NUM_OUT=> io_packet_num_out(17 downto 15),
MED_REPLY_READ_IN => io_read_in(5),
MED_DATAREADY_IN => io_dataready_in(2),
- MED_DATA_IN => io_data_in,
- MED_PACKET_NUM_IN => io_packet_num_in,
+ MED_DATA_IN => io_data_in(47 downto 32),
+ MED_PACKET_NUM_IN => io_packet_num_in(8 downto 6),
MED_READ_OUT => io_read_out(2)
);
MED_REPLY_READ_IN => io_read_in(7),
MED_DATAREADY_IN => io_dataready_in(3),
- MED_DATA_IN => io_data_in,
- MED_PACKET_NUM_IN => io_packet_num_in,
+ MED_DATA_IN => io_data_in(63 downto 48),
+ MED_PACKET_NUM_IN => io_packet_num_in(11 downto 9),
MED_READ_OUT => io_read_out(3),
MED_ERROR_IN => io_error_in,