signal reset_i : std_logic;
signal clear_i : std_logic;
+ signal sd_led_green : std_logic;
+ signal sd_led_yellow : std_logic;
+ signal sd_led_red : std_logic;
+
signal led_i : std_logic_vector(3 downto 0);
signal uuid_i : std_logic_vector(63 downto 0);
SD_PRSNT_N_IN => SFP_MOD_0,
SD_LOS_IN => SFP_LOS,
SD_TXDIS_OUT => SFP_TX_DIS,
+ SD_LED_GREEN_OUT => sd_led_green,
+ SD_LED_YELLOW_OUT => sd_led_yellow,
+ SD_LED_RED_OUT => sd_led_red,
-- trigger channel
CTS_NUMBER_IN => (others => '0'), --gbe_cts_number,
CTS_CODE_IN => (others => '0'), --gbe_cts_code,
-------------------------------------------------------------------------------
-- LED
-------------------------------------------------------------------------------
- LED_SFP_GREEN <= not '0';
- LED_SFP_RED <= not '0';
- LED_SFP_YELLOW <= not '0';
- LED(3) <= not debug(126); --'0'; LRR
- LED(2) <= not debug(127); --'0'; LTR
+ LED_SFP_GREEN <= not sd_led_green;
+ LED_SFP_RED <= not sd_led_red;
+ LED_SFP_YELLOW <= not sd_led_yellow;
+ LED(3) <= not '0';
+ LED(2) <= not '0';
LED(1) <= not '0';
LED(0) <= not '0';