#################################################################
# LVDS interface
#################################################################
-LOCATE COMP "INTCOM_9" SITE "C18" ;
+LOCATE COMP "INTCOM_9" SITE "D18" ;
IOBUF PORT "INTCOM_9" IO_TYPE=LVDS DIFFRESISTOR=100;
-LOCATE COMP "INTCOM_8" SITE "D18" ;
+LOCATE COMP "INTCOM_8" SITE "F17" ;
IOBUF PORT "INTCOM_8" IO_TYPE=LVDS DIFFRESISTOR=100;
-LOCATE COMP "INTCOM_7" SITE "F17" ;
+LOCATE COMP "INTCOM_7" SITE "H18" ;
IOBUF PORT "INTCOM_7" IO_TYPE=LVDS DIFFRESISTOR=100;
-LOCATE COMP "INTCOM_6" SITE "H18" ;
+LOCATE COMP "INTCOM_6" SITE "K16" ;
IOBUF PORT "INTCOM_6" IO_TYPE=LVDS DIFFRESISTOR=100;
-LOCATE COMP "INTCOM_5" SITE "K16" ;
+LOCATE COMP "INTCOM_5" SITE "N19" ;
IOBUF PORT "INTCOM_5" IO_TYPE=LVDS DIFFRESISTOR=100;
LOCATE COMP "INTCOM_4" SITE "C20" ;
IOBUF PORT "INTCOM_4" IO_TYPE=LVDS DIFFRESISTOR=100;
constant USE_RXCLOCK : integer := c_NO;
--Address settings
- constant INIT_ADDRESS : std_logic_vector := x"F570";
- constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"81";
+ constant INIT_ADDRESS : std_logic_vector := x"F770";
+ constant BROADCAST_SPECIAL_ADDR : std_logic_vector := x"30";
- constant INCLUDE_UART : integer := c_NO; --300 slices
+ constant INCLUDE_UART : integer := c_NO; --300 slices
constant INCLUDE_SPI : integer := c_NO; --300 slices
- constant INCLUDE_LCD : integer := c_NO; --800 slices
+ constant INCLUDE_LCD : integer := c_NO; --800 slices
constant INCLUDE_DEBUG_INTERFACE: integer := c_NO; --300 slices
--input monitor and trigger generation logic
------------------------------------------------------------------------------
type intlist_t is array(0 to 7) of integer;
type hw_info_t is array(0 to 7) of unsigned(31 downto 0);
- constant HW_INFO_BASE : unsigned(31 downto 0) := x"A5000000";
+ constant HW_INFO_BASE : unsigned(31 downto 0) := x"A8000000";
constant CLOCK_FREQUENCY_ARR : intlist_t := (100,120, others => 0);
constant MEDIA_FREQUENCY_ARR : intlist_t := (200,240, others => 0);
# Basic Settings
#################################################################
-FREQUENCY PORT CLK_200 200 MHz;
-FREQUENCY PORT CLK_125 125 MHz;
+#FREQUENCY PORT CLK_200 200 MHz;
+#FREQUENCY PORT CLK_125 125 MHz;
FREQUENCY NET "THE_MEDIA_INTERFACE/gen_pcs0.THE_SERDES/serdes_sync_0_inst/clk_tx_full" 200 MHz;
FREQUENCY NET "THE_MEDIA_INTERFACE/gen_pcs1.THE_SERDES/serdes_sync_0_inst/clk_tx_full" 200 MHz;
MULTICYCLE TO CELL "THE_MEDIA_INTERFACE/THE_SCI_READER/PROC_SCI_CTRL.BUS_TX*" 10 ns;
MULTICYCLE TO CELL "THE_MEDIA_INTERFACE/THE_MED_CONTROL/THE_TX/STAT_REG_OUT*" 10 ns;
+## read from SCI can be delayed due to long read strobe
+#MULTICYCLE FROM ASIC gen_PCSB.THE_MEDIA_PCSB/gen_SERDES.THE_SERDES/PCSD_INST PIN SCIRDATA* 15 ns;
+## write strobe can be delayed due to A/D being stable after access
+#MULTICYCLE TO ASIC gen_PCSB.THE_MEDIA_PCSB/gen_SERDES.THE_SERDES/PCSD_INST PIN SCIWSTN 15 ns;
+
GSR_NET NET "clear_i";
REGION "MEDIA" "R81C44D" 13 25;