REGIO_WRITE_ACK_IN : in std_logic := '0';
REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
REGIO_TIMEOUT_OUT : out std_logic;
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
--Fixed status and control ports
HUB_STAT_CHANNEL : out std_logic_vector (2**(c_MUX_WIDTH-1)*16-1 downto 0);
STAT_DEBUG <= buf_STAT_DEBUG;
HUB_STAT_GEN <= (others => '0');
+ TIMER_TICKS_OUT(0) <= timer_us_tick;
+ TIMER_TICKS_OUT(1) <= timer_us_tick;
end architecture;
REGIO_WRITE_ACK_IN : in std_logic := '0';
REGIO_UNKNOWN_ADDR_IN : in std_logic := '0';
REGIO_TIMEOUT_OUT : out std_logic;
-
+ TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
ONEWIRE : inout std_logic;
ONEWIRE_MONITOR_IN : in std_logic;
ONEWIRE_MONITOR_OUT : out std_logic;
signal hub_make_network_reset : std_logic;
signal hub_got_network_reset : std_logic;
+signal timer_ticks : std_logic_vector(1 downto 0);
begin
begin
if rising_edge(CLK) then
reset_i <= hub_got_network_reset or RESET;
- reset_i_mux_io <= hub_got_network_reset or RESET;
+ reset_i_mux_io <= MED_STAT_OP(mii*16+14) or reset_i;
end if;
end process;
REGIO_WRITE_ACK_IN => REGIO_WRITE_ACK_IN,
REGIO_UNKNOWN_ADDR_IN => REGIO_UNKNOWN_ADDR_IN,
REGIO_TIMEOUT_OUT => REGIO_TIMEOUT_OUT,
-
+ TIMER_TICKS_OUT => timer_ticks,
ONEWIRE => ONEWIRE,
ONEWIRE_MONITOR_IN => ONEWIRE_MONITOR_IN,
ONEWIRE_MONITOR_OUT=> ONEWIRE_MONITOR_OUT,
STAT_IBUF_BUFFER => open,
CTRL_GEN => (others => '0'),
STAT_INIT_OBUF_DEBUG => open,
- STAT_REPLY_OBUF_DEBUG => open
+ STAT_REPLY_OBUF_DEBUG => open,
+ TIMER_TICKS_IN => timer_ticks
);
STAT_IBUF_BUFFER => open,
CTRL_GEN => (others => '0'),
STAT_INIT_OBUF_DEBUG => open,
- STAT_REPLY_OBUF_DEBUG => open
+ STAT_REPLY_OBUF_DEBUG => open,
+ TIMER_TICKS_IN => timer_ticks
);
THE_IOBUF_2 : trb_net16_term_buf
STAT_IBUF_BUFFER => open,
CTRL_GEN => (others => '0'),
STAT_INIT_OBUF_DEBUG => open,
- STAT_REPLY_OBUF_DEBUG => open
+ STAT_REPLY_OBUF_DEBUG => open,
+ TIMER_TICKS_IN => timer_ticks
);
---------------------------------------------------------------------