signal adc_data : std_logic_vector(39 downto 0) := (others => '0');
signal stop_in : std_logic := '0';
signal trigger_out : std_logic := '0';
- signal config : cfg_cfd_t;
+ signal config : cfg_cfd_t := cfg_cfd_t_INIT;
signal readout_rx : READOUT_RX;
signal readout_tx : READOUT_TX;
signal control : std_logic_vector(63 downto 0);
ChannelDisable : std_logic_vector(47 downto 0);
end record;
+ constant cfg_cfd_t_INIT : cfg_cfd_t := (
+ InputThreshold => (others => '0'),
+ PolarityInvert => '0',
+ BaselineAverage => (others => '0'),
+ BaselineAlwaysOn => '0',
+ CFDDelay => (others => '0'),
+ CFDMult => (others => '0'),
+ CFDMultDly => (others => '0'),
+ IntegrateWindow => (others => '0'),
+ TriggerDelay => (others => '0'),
+ CheckWord1 => (others => '0'),
+ CheckWord2 => (others => '0'),
+ CheckWordEnable => '0',
+ TriggerEnable => (others => '0'),
+ ChannelDisable => (others => '0')
+ );
+
type debug_cfd_t is record
InvalidWordCount : unsigned(31 downto 0);
Baseline : unsigned(RESOLUTION - 1 downto 0);