STATUS_OUT : out std_logic_vector(127 downto 0);
STAT_DATA_BUFFER_LEVEL : out std_logic_vector(DATA_INTERFACE_NUMBER*32-1 downto 0);
STAT_HEADER_BUFFER_LEVEL : out std_logic_vector(31 downto 0);
+ TIMER_TICKS_IN : in std_logic_vector(1 downto 0);
+ STATISTICS_DATA_OUT : out std_logic_vector(31 downto 0);
+ STATISTICS_ADDR_IN : in std_logic_vector(4 downto 0);
--Debug
DEBUG_DATA_HANDLER_OUT : out std_logic_vector(31 downto 0);