-- PWM : out std_logic_vector(32 downto 1);
--Additional IO
- SIG : inout std_logic_vector(4 downto 1);
+ SIG : inout std_logic_vector(5 downto 1);
--1:master ready, 2: slave ready, 3-4 trigger, 5 reset
--LED
LED_GREEN : out std_logic;
TEST_LINE(10) <= int2med(0).dataready; -- To SFP
TEST_LINE(11) <= med2int(0).dataready; -- from SFP
+ SIG(5) <= '1';
---------------------------------------------------------------------------
-- LED
LOCATE COMP "SIG[2]" SITE "N5";
LOCATE COMP "SIG[3]" SITE "M5";
LOCATE COMP "SIG[4]" SITE "M4";
-# LOCATE COMP "SIG[5]" SITE "L5";
+LOCATE COMP "SIG[5]" SITE "L5";
IOBUF PORT "SIG[1]" IO_TYPE=LVCMOS25 DRIVE=8 BANK_VCCIO=2.5 PULLMODE=UP;
IOBUF PORT "SIG[2]" IO_TYPE=LVCMOS25 DRIVE=8 BANK_VCCIO=2.5 ;
IOBUF PORT "SIG[3]" IO_TYPE=LVCMOS25 DRIVE=8 BANK_VCCIO=2.5;
IOBUF PORT "SIG[4]" IO_TYPE=LVCMOS25 DRIVE=8 BANK_VCCIO=2.5;
+IOBUF PORT "SIG[5]" IO_TYPE=LVCMOS25 DRIVE=4 BANK_VCCIO=2.5;
LOCATE COMP "FLASH_CLK" SITE "U1";