signal global_reset_i : std_logic_vector(3 downto 0);
- signal global_reset_sel : std_logic;
signal pll_lol_i : std_logic;
signal link_rx_ready_i : std_logic_vector(3 downto 0);
-- global reset
-- output only if a slave port is available in QUAD
-------------------------------------------------
- global_reset_sel <= global_reset_i(0) when ((quad_mode >= 8) and (IS_MODE(0) = c_IS_SLAVE)) else
+ GLOBAL_RESET_OUT <= global_reset_i(0) when ((quad_mode >= 8) and (IS_MODE(0) = c_IS_SLAVE)) else
global_reset_i(1) when ((quad_mode >= 8) and (IS_MODE(1) = c_IS_SLAVE)) else
global_reset_i(2) when ((quad_mode >= 8) and (IS_MODE(2) = c_IS_SLAVE)) else
global_reset_i(3) when ((quad_mode >= 8) and (IS_MODE(3) = c_IS_SLAVE)) else
GLOBAL_RESET_IN;
- GLOBAL_RESET_OUT <= global_reset_sel;
-
-------------------------------------------------
-- stable RX recovered clock available
-------------------------------------------------
TX_CLK_AVAIL_OUT <= tx_clk_avail_sel;
-------------------------------------------------
--- reset komma
+-- reset komma receive
-- output only if a slave port is available in QUAD
-------------------------------------------------
RX_RST_OUT <= rx_rst_i(0) when ((quad_mode >= 8) and (IS_MODE(0) = c_IS_SLAVE)) else
rx_rst_word_i(3*8+7 downto 3*8) when ((quad_mode >= 8) and (IS_MODE(3) = c_IS_SLAVE)) else
x"00";
+-------------------------------------------------
+-- reset komma transmit, only on master ports
+-------------------------------------------------
+ tx_rst_i(0) <= TX_RST_IN when (IS_MODE(0) = c_IS_MASTER) else '0';
+ tx_rst_i(1) <= TX_RST_IN when (IS_MODE(1) = c_IS_MASTER) else '0';
+ tx_rst_i(2) <= TX_RST_IN when (IS_MODE(2) = c_IS_MASTER) else '0';
+ tx_rst_i(3) <= TX_RST_IN when (IS_MODE(3) = c_IS_MASTER) else '0';
+
-------------------------------------------------
-- Serdes
-------------------------------------------------