architecture behavioral of control_line_handle is
--signal declarations
- type state_type is (idle, trigger_begrun, send_token, trigger_calibration);
+ type state_type is (idle, trigger_begrun, send_token,
+ trigger_calibration, wait_for_data_request);
signal current_state : state_type;
signal next_state : state_type;
end if;
end process;
- real_gde_i <= tmp_gde_i or A_GDE_ST_i;
+ real_gde_i <= tmp_gde_i or A_GDE_ST_i or A_GDE_TB_i;
proc_real_gde : process (CLK)
begin
tmp_gde_i <= '0';
elsif finished_load_calib_i = '1' then
tmp_gde_i <= '1';
+ else
+ tmp_gde_i <= tmp_gde_i;
end if;
end if;
end process;
--------------------------------------------------------------------------------
--- send_token_to_mb
--------------------------------------------------------------------------------
-
the_send_token : send_token_to_mb
port map (
CLK => CLK,
-- send_token_to_mb
-------------------------------------------------------------------------------
-
-
proc_fsm_sync : process (CLK)
begin
--process
when trigger_calibration =>
if finished_load_calib_i = '1' then
- next_state <= idle;
+ next_state <= send_token;
end if;
-
+
when send_token =>
+ next_line_select <= '1';
if token_back_i = '1' or no_token_back_i = '1' then
- next_state <= idle;
+ next_state <= idle;
end if;
when others =>
end case;
end process;
-
-------------------------------------------------------------------------------
-- I/O
-------------------------------------------------------------------------------
DEBUG_REGISTER(11) <= start_debug_readout_i;
DEBUG_REGISTER(31 downto 12) <= (others => '0');
-
-
end behavioral;