signal hit_reg : std_logic;
signal link_flag_reg : std_logic;
- constant k28_5 : std_logic_vector(7 downto 0) := x"bc";
- constant k28_0 : std_logic_vector(7 downto 0) := x"1c";
-
begin
--- /dev/null
+library IEEE;
+use IEEE.std_logic_1164.all;
+use IEEE.numeric_std.all;
+
+package Constants is
+
+ constant c_mupixhitsize : integer := 40; -- Link(8) & Row(8) & Col(8) & Charge(7) & TS(9) in hit mode
+ -- binary counter(24) & link(4) & x"3" & gray counter
+ constant k28_5 : std_logic_vector(7 downto 0) := x"bc";
+ constant k28_0 : std_logic_vector(7 downto 0) := x"1c";
+
+end package Constants;