signal inp_reg_last : std_logic_vector(INPUTS-1 downto 0);
signal inp_inv : std_logic_vector(INPUTS-1 downto 0);
signal inp_stretch : std_logic_vector(INPUTS-1 downto 0);
-signal inp_reg_95 : std_logic_vector(95 downto 0);
+signal inp_reg_95 : std_logic_vector(95 downto 0) := (others => '0');
signal trigger_fifo, trigger_fifo_buf : std_logic;
signal trigger_fifo_real, trigger_fifo_external : std_logic := '0';
signal fifo_wait,fifo_wait2,fifo_wait3 : std_logic;
signal fifo_empty : std_logic_vector(LAST_FIFO_NUM downto 0);
signal fifo_write : std_logic;
-signal fifo_select : integer range 0 to 95;
-signal fifo_in_sel : integer range 0 to 95;
+signal fifo_select : integer range 0 to INPUTS-1;
+signal fifo_in_sel : integer range 0 to INPUTS-1;
type cnt_t is array(0 to INPUTS-1) of unsigned(23 downto 0);
begin
wait until rising_edge(CLK);
m := 0;
- for i in 0 to INPUTS-1 loop
+ for i in 0 to 31 loop --was INPUTS-1 @ 09.17
if inp_verylong(i) = '1' and multiplicity_enable(i) = '1' then
m := m + 1;
end if;