add_file -vhdl -lib work "../../vhdlbasics/machxo3/flash/flashram.vhd"
add_file -vhdl -lib work "../../vhdlbasics/machxo3/flash/flash.vhd"
add_file -verilog -lib work "../../vhdlbasics/machxo3/flash/efb_define_def.v"
-add_file -verilog -lib work "../../vhdlbasics/machxo3/flash/UFM_WB.v"
+add_file -verilog -lib work "../../vhdlbasics/machxo3/flash/UFM_WB_16bit.v"
add_file -vhdl -lib work "../../vhdlbasics/machxo3/flash/generic_flash_ctrl.vhd"
\r
\r
architecture arch of padiwa_amps2 is\r
- constant DELAYDEPTH : integer := 16;\r
+ constant DELAYDEPTH : integer := 64;\r
\r
attribute NOM_FREQ : string;\r
attribute NOM_FREQ of clk_source : label is "133.00";\r
signal temperature_i_s : std_logic_vector(11 downto 0);\r
signal comp_setting_s : std_logic_vector(15 downto 0);\r
\r
- signal delayed_inputs : std_logic_vector(127 downto 0);\r
+ signal delayed_inputs : std_logic_vector(511 downto 0);\r
signal selected_delay : std_logic_vector(8 downto 1);\r
- signal delayselect : integer range 0 to 15;\r
+ signal delayselect : integer range 0 to 63;\r
\r
\r
component OSCH\r
when x"30" => spi_tx_data <= std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,16));\r
when x"31" => spi_tx_data <= std_logic_vector(to_unsigned(VERSION_NUMBER_TIME/2**16,16));\r
when x"32" => spi_tx_data <= x"0000";\r
+ when x"ff" => spi_tx_data <= x"0200"; --version\r
when others => null;\r
end case;\r
end if;\r
IOBUF PORT "TMP_ALERT" IO_TYPE=LVTTL33 PULLMODE=UP;
-UGROUP "StretchA" BBOX 1 16
+UGROUP "StretchA" BBOX 1 32
BLKNAME THE_STRETCHER/Stretcher_A_1
;
LOCATE UGROUP "StretchA" SITE "R25C6";
-UGROUP "StretchB" BBOX 1 16
+UGROUP "StretchB" BBOX 1 32
BLKNAME THE_STRETCHER/Stretcher_B_1
;
LOCATE UGROUP "StretchB" SITE "R2C6";
-- File : Stretcher.vhd
-- Author : cugur@gsi.de
-- Created : 2012-11-07
--- Last update: 2016-01-20
+-- Last update: 2018-02-01
-------------------------------------------------------------------------------
-- Description: Jan's counting
-------------------------------------------------------------------------------
entity Stretcher is
generic (
CHANNEL : integer range 1 to 64 := 1;
- DEPTH : integer range 1 to 32 := 3);
+ DEPTH : integer range 1 to 64 := 3);
port (
PULSE_IN : in std_logic_vector(CHANNEL-1 downto 0);
PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0));
-- File : Stretcher_A.vhd
-- Author : Cahit Ugur <c.ugur@gsi.de>
-- Created : 2014-11-24
--- Last update: 2016-01-20
+-- Last update: 2018-02-01
-------------------------------------------------------------------------------
-- Description: Jan's counting
-------------------------------------------------------------------------------
entity Stretcher_A is
generic (
CHANNEL : integer range 1 to 64;
- DEPTH : integer range 1 to 32 := 3);
+ DEPTH : integer range 1 to 64 := 3);
port (
PULSE_IN : in std_logic_vector(CHANNEL*DEPTH-1 downto 0);
PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0));
-- File : Stretcher_B.vhd
-- Author : Cahit Ugur <c.ugur@gsi.de>
-- Created : 2014-11-24
--- Last update: 2016-01-20
+-- Last update: 2018-02-01
-------------------------------------------------------------------------------
-- Description: Jan's counting
-------------------------------------------------------------------------------
entity Stretcher_B is
generic (
CHANNEL : integer range 1 to 64;
- DEPTH : integer range 1 to 32 := 3);
+ DEPTH : integer range 1 to 64 := 3);
port (
PULSE_IN : in std_logic_vector(CHANNEL*DEPTH-1 downto 0);
PULSE_OUT : out std_logic_vector(CHANNEL*DEPTH-1 downto 0));