signal sbuf_status : std_logic_vector(2 downto 0);
signal buf_APL_RUN_OUT : std_logic;
+ signal apl_send_in_down_timeout : std_logic;
+ signal apl_send_in_timeout_counter : std_logic_vector(3 downto 0);
+
begin
---------------------------------------
-- termination for active api
end if;
end process;
+ process(CLK)
+ begin
+ if rising_edge(CLK) then
+ if APL_SEND_IN = '1' then
+ apl_send_in_timeout_counter <= (others => '0');
+ elsif apl_send_in_timeout_counter(3) = '0' then
+ apl_send_in_timeout_counter <= apl_send_in_timeout_counter + 1;
+ end if;
+ end if;
+ end process;
+ apl_send_in_down_timeout <= apl_send_in_timeout_counter;
+
---------------------------------------
--regenerate long packet numbers
---------------------------------------
fifo_to_int_read <= '0';
master_start <= '0';
master_end <= '0';
- next_fifo_was_not_empty <= fifo_was_not_empty or not fifo_to_int_empty;
+ next_fifo_was_not_empty <= fifo_was_not_empty or not fifo_to_int_empty or apl_send_in_down_timeout;
case state_to_int is
when INACTIVE =>