data_out : out std_logic_vector(g_datawidth - 1 downto 0); -- output word
empty : out std_logic; --empty flag
full : out std_logic; --full flag
- fillcnt : out std_logic_vector(g_addresswidth - 1 downto 0); -- number of words in circullar buffer
+ fillcnt : out std_logic_vector(g_addresswidth - 1 downto 0); -- number of words in circular buffer
inword_freq : out std_logic_vector(31 downto 0); -- number of input words per second
outword_freq : out std_logic_vector(31 downto 0) -- number of output words per second
);
--fast data signals
clkref : out std_logic; --reference clock
clkext : out std_logic; --external clock (difference to first one?)
- syncres : out std_logic; --sync something
+ syncres : out std_logic; --synchronous reset of mupix 8 counters and time stamps
trigger : in std_logic; --external trigger
--data
data1_P : in std_logic; --data 1