constant INCLUDE_MBS_MASTER : integer range c_NO to c_YES := c_NO;
constant INCLUDE_TIMESTAMP_GENERATOR : integer := c_NO;
---include TDC for all four trigger input lines
+ constant FPGA_TYPE : integer := 3;
+--include TDC for all four trigger input lines
constant INCLUDE_TDC : integer range c_NO to c_YES := c_NO;
constant TDC_CHANNEL_NUMBER : integer := 5;
constant DOUBLE_EDGE_TYPE : integer range 0 to 3 := 0; --double edge type: 0, 1, 2, 3
--CLK RJ 3&4 can be used as output multiplexers?
constant USE_CLKRJ_AS_OUTMUX : integer := c_NO;
- constant TRIGGER_COIN_COUNT : integer := 2;
+ constant TRIGGER_COIN_COUNT : integer := 3;
constant TRIGGER_PULSER_COUNT : integer := 1;
constant TRIGGER_RAND_PULSER : integer := 1;
- constant TRIGGER_ADDON_COUNT : integer := 8;
+ constant TRIGGER_ADDON_COUNT : integer := 7;
constant PERIPH_TRIGGER_COUNT : integer := 4;
- constant ADDON_LINE_COUNT : integer := 38;
+ constant ADDON_LINE_COUNT : integer := 54;
constant CTS_OUTPUT_MULTIPLEXERS : integer := 8;
port map (
CLK_IN => CLK_IN,
RST_IN => RESET_IN,
- DATA_IN => trigger_inputs_i(min(EFFECTIVE_INPUT_COUNT,7) downto 0),
+ DATA_IN => trigger_inputs_i(min(EFFECTIVE_INPUT_COUNT,8)-1 downto 0),
TRIGGER_OUT => coins_i(i),
CONFIG_IN => coin_config_i(i)
);
-n 1
-y
-s 15
--t 27
+-t 28
-c 1
-e 2
#-g guidefile.ncd
project -result_file "workdir/trb3_central.edf"
#implementation attributes
-
+set_option -vhdl2008 1
set_option -vlog_std v2001
set_option -project_relative_includes 1
impl -active "workdir"
signal cts_rdo_trg_information : std_logic_vector(23 downto 0);
signal cts_rdo_trg_number : std_logic_vector(15 downto 0);
- constant CTS_ADDON_LINE_COUNT : integer := 38;
+ --constant CTS_ADDON_LINE_COUNT : integer := 38;
constant CTS_OUTPUT_MULTIPLEXERS : integer := 8;
constant CTS_OUTPUT_INPUTS : integer := 16;
- signal cts_addon_triggers_in : std_logic_vector(CTS_ADDON_LINE_COUNT-1 downto 0);
+ signal cts_addon_triggers_in : std_logic_vector(ADDON_LINE_COUNT-1 downto 0);
signal cts_addon_activity_i,
cts_addon_selected_i : std_logic_vector(6 downto 0);
cts_addon_triggers_in(20) <= or_all(jin2_corrected);
cts_addon_triggers_in(21) <= or_all(NIM_IN);
cts_addon_triggers_in(37 downto 22) <= JTTL;
+ cts_addon_triggers_in(53 downto 38) <= FPGA4_COMM(10 downto 7)
+ & FPGA3_COMM(10 downto 7)
+ & FPGA2_COMM(10 downto 7)
+ & FPGA1_COMM(10 downto 7);
LED_BANK(7 downto 6) <= cts_addon_activity_i(4 downto 3);
LED_RJ_GREEN <= (