signal tx_pll_lol_d_i : std_logic;
signal tx_clk_avail_i : std_logic;
signal tx_pcs_rst_i : std_logic;
+ signal rst_qd_c_i : std_logic;
signal link_tx_ready_i : std_logic;
signal status_raw : std_logic_vector(4 * 32 - 1 downto 0);
-- 8 : fifo_eof
-- 7..0: data
+-- DBG(15 downto 0) <= debug_pcsd(15 downto 0);
DBG(31 downto 0) <= debug_pcsd(31 downto 0);
-- DBG(31 downto 16) <= debug_pcsc(111 downto 96);
DBG(32) <= '0';
SD_TXDIS_OUT(3) => open,
-- SerDes control
TX_PLOL_LOL_OUT => tx_pll_lol_a_i,
+ RST_QD_C_IN => rst_qd_c_i,
TX_PCS_RST_IN => tx_pcs_rst_i,
RX_LINK_READY_OUT => open,
TX_LINK_READY_IN => link_tx_ready_i,
SD_TXDIS_OUT(3) => HUB_TXDIS(8), --open,
-- SerDes control
TX_PLOL_LOL_OUT => tx_pll_lol_b_i,
+ RST_QD_C_IN => rst_qd_c_i,
TX_PCS_RST_IN => tx_pcs_rst_i,
RX_LINK_READY_OUT => open,
TX_LINK_READY_IN => link_tx_ready_i,
SD_TXDIS_OUT(3) => HUB_TXDIS(2),
-- SerDes control
TX_PLOL_LOL_OUT => tx_pll_lol_c_i,
+ RST_QD_C_IN => rst_qd_c_i,
TX_PCS_RST_IN => tx_pcs_rst_i,
RX_LINK_READY_OUT => open,
TX_LINK_READY_IN => link_tx_ready_i,
MAC_RX_ERROR_OUT => sniffer_error,
-- SerDes control
TX_PLOL_LOL_OUT => tx_pll_lol_d_i,
+ RST_QD_C_IN => rst_qd_c_i,
TX_PCS_RST_IN => tx_pcs_rst_i,
RX_LINK_READY_OUT => open,
TX_LINK_READY_IN => link_tx_ready_i,
global_reset_i <= not tx_clk_avail_i; -- keep everything in reset until we get some clock
+ rst_qd_c_i <= clear_i;
+
---------------------------------------------------------------------------
-- LED
---------------------------------------------------------------------------