signal pulser_pulslength : unsigned(27 downto 0) := x"0000001";\r
signal pulser_periodlength_buffer : unsigned(27 downto 0);\r
signal pulser_pulslength_buffer : unsigned(27 downto 0);\r
- \r
+\r
--UFM\r
-------------------------------------\r
signal ufm_cmd : std_logic := '0'; --CMD=0 => Read; CMD=1 => Write\r
signal ufm_bus_ready_out : std_logic;\r
signal ufm_bus_ready_in : std_logic;\r
signal ufm_busy : std_logic;\r
+\r
\r
component OSCH\r
generic (NOM_FREQ: string := "133.00");\r
-- DEBUG => sed_debug\r
-- );\r
\r
+-- process begin\r
+-- wait until rising_edge(clk_i);\r
+-- if counter = x"40" then\r
+-- counter <= 0;\r
+-- pwm <= '1';\r
+-- else \r
+-- counter <= counter + 1;\r
+-- pwm <= '0';\r
+-- end if; \r
+-- end process;\r
+-- \r
+-- \r
+-- OUTPUT <= '0' & pwm & '0' & pwm;\r
+-- CONTROLO <= pwm;\r
+ \r
end architecture;\r
\r
\r