--Registers / Debug
CONTROL_REG_IN : in std_logic_vector(31 downto 0);
STATUS_REG_OUT : out std_logic_vector(31 downto 0);
+ HEADER_REG_OUT : out std_logic_vector(1 downto 0);
DEBUG : out std_logic_vector(31 downto 0)
);
end component;
FINISHED_OUT : out std_logic;
CONTROL_REG_IN : in std_logic_vector(31 downto 0);
STATUS_REG_OUT : out std_logic_vector(31 downto 0) := (others => '0');
+ HEADER_REG_OUT : out std_logic_vector(1 downto 0);
DEBUG : out std_logic_vector(31 downto 0));
end component mainz_a2_recv;
--Registers / Debug
CONTROL_REG_IN : in std_logic_vector(31 downto 0);
STATUS_REG_OUT : out std_logic_vector(31 downto 0) := (others => '0');
+ HEADER_REG_OUT : out std_logic_vector(1 downto 0);
DEBUG : out std_logic_vector(31 downto 0)
);
end entity;
signal config_rdo_disable_i : std_logic;
begin
- timer_tick_1us <= TIMER_TICK_1US_IN;
-
- reg_SERIAL_IN <= SERIAL_IN when rising_edge(CLK);
+ -- we tell the CTS that we send four words of over DATA_OUT
+ HEADER_REG_OUT <= b"10";
--PROC_FIRST_BITS : process
--begin
--Registers / Debug
CONTROL_REG_IN : in std_logic_vector(31 downto 0);
STATUS_REG_OUT : out std_logic_vector(31 downto 0) := (others => '0');
+ HEADER_REG_OUT : out std_logic_vector(1 downto 0);
DEBUG : out std_logic_vector(31 downto 0)
);
end entity;
begin
+-- we tell the CTS that we send one word of over DATA_OUT
+HEADER_REG_OUT <= b"01";
reg_MBS_IN <= MBS_IN when rising_edge(CLK_200);
STATUS_REG_OUT <= error_reg & '0' & std_logic_vector(to_unsigned(bitcnt,6)) & number_reg;
DEBUG <= x"00000000"; -- & done & '0' & shift_reg(13 downto 0);
-end architecture;
\ No newline at end of file
+end architecture;
signal cts_ext_status : std_logic_vector(31 downto 0) := (others => '0');
signal cts_ext_control : std_logic_vector(31 downto 0);
signal cts_ext_debug : std_logic_vector(31 downto 0);
+ signal cts_ext_header : std_logic_vector(1 downto 0);
signal cts_rdo_additional_data : std_logic_vector(31+INCLUDE_TDC*32 downto 0);
signal cts_rdo_additional_write : std_logic_vector(0+INCLUDE_TDC downto 0) := (others => '0');
CONTROL_REG_IN => cts_ext_control,
STATUS_REG_OUT => cts_ext_status,
+ HEADER_REG_OUT => cts_ext_header,
DEBUG => cts_ext_debug
);
CONTROL_REG_IN => cts_ext_control,
STATUS_REG_OUT => cts_ext_status,
+ HEADER_REG_OUT => cts_ext_header,
DEBUG => cts_ext_debug
);
EXT_TRIGGER_IN => cts_ext_trigger,
EXT_STATUS_IN => cts_ext_status,
- EXT_CONTROL_OUT => cts_ext_control,
+ EXT_CONTROL_OUT => cts_ext_control,
+ EXT_HEADER_BITS_IN => cts_ext_header,
CTS_TRG_SEND_OUT => cts_trg_send,
CTS_TRG_TYPE_OUT => cts_trg_type,