REGIO_COMPILE_VERSION : std_logic_vector(15 downto 0) := x"0001";
REGIO_HARDWARE_VERSION : std_logic_vector(31 downto 0) := x"12345678";
REGIO_USE_1WIRE_INTERFACE: integer := c_YES; --c_YES,c_NO,c_MONITOR
- CLOCK_FREQUENCY : itneger range 1 to 200 := 100;
+ CLOCK_FREQUENCY : integer range 1 to 200 := 100
);
port(
signal MED_STAT_OP : std_logic_vector(16-1 downto 0);
signal MED_CTRL_OP : std_logic_vector(16-1 downto 0);
signal MED_STAT_DEBUG : std_logic_vector(63 downto 0);
- signal MED_CTRL_DEBUG : std_logic_vector(63 downto 0);
+ signal MED_CTRL_DEBUG : std_logic_vector(15 downto 0);
signal LVL1_TRG_TYPE_OUT : std_logic_vector(3 downto 0);
signal LVL1_TRG_RECEIVED_OUT : std_logic;
---------------------------------------------------------------------
API: trb_net16_endpoint_hades_full
generic map(
- BROADCAST_BITMASK => x"FD",
REGIO_NUM_STAT_REGS => 3,
REGIO_NUM_CTRL_REGS => 3,
--standard values for output registers
REGIO_USED_CTRL_REGS => (others => '1'),
REGIO_USED_CTRL_BITMASK => (others => '1'),
BROADCAST_BITMASK => x"FD",
- REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32))
+ REGIO_COMPILE_TIME => std_logic_vector(to_unsigned(VERSION_NUMBER_TIME,32)),
+ CLOCK_FREQUENCY => 100
)
port map(
CLK => CLK_100,