signal rx_dlm_i : std_logic;
signal tx_dlm_word : std_logic_vector(7 downto 0);
signal rx_dlm_word : std_logic_vector(7 downto 0);
-
+ signal send_net_reset : std_logic;
+ signal make_reset : std_logic;
begin
---------------------------------------------------------------------------
-- Reset Generation
---------------------------------------------------------------------------
GSR_N <= pll_lock;
-
+ send_net_reset <= med_stat_op(15);
+
THE_RESET_HANDLER : trb_net_reset_handler
generic map(
RESET_DELAY => x"FEEE"
SYSCLK_IN => clk_sys_i, -- PLL/DLL remastered clock
PLL_LOCKED_IN => pll_lock, -- master PLL lock signal (async)
RESET_IN => '0', -- general reset signal (SYSCLK)
- TRB_RESET_IN => med_stat_op(13), -- TRBnet reset signal (SYSCLK)
+ TRB_RESET_IN => make_reset, -- TRBnet reset signal (SYSCLK)
CLEAR_OUT => clear_i, -- async reset out, USE WITH CARE!
RESET_OUT => reset_i, -- synchronous reset out (SYSCLK)
DEBUG_OUT => open
);
-
+make_reset <= med_stat_op(13); -- or med_stat_op(1) or med_stat_op(0);
+
---------------------------------------------------------------------------
-- Clock Handling
---------------------------------------------------------------------------
IS_SYNC_SLAVE => c_YES
)
port map(
- CLK => clk_raw_internal, --clk_200_i,
+ CLK => clk_raw_internal,
SYSCLK => clk_sys_i,
RESET => reset_i,
CLEAR => clear_i,
- --Internal Connection for TrbNet data -> not used a.t.m.
+ --Internal Connection for TrbNet data
MED_DATA_IN => med_data_out(15 downto 0),
MED_PACKET_NUM_IN => med_packet_num_out(2 downto 0),
MED_DATAREADY_IN => med_dataready_out(0),
CTRL_DEBUG => (others => '0')
);
-
+
---------------------------------------------------------------------------
-- The Soda Slave
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Test Connector
---------------------------------------------------------------------------
- TEST_LINE(15 downto 0) <= (others => '0');
+ TEST_LINE(15 downto 0) <= med_stat_debug(15 downto 0);
---------------------------------------------------------------------------
-- Test Circuits