CRI_APL_DATAREADY_OUT : out std_logic;
CRI_CALIB_EOD_OUT : out std_logic;
+ MY_ADDRESS_IN : in std_logic_vector(15 downto 0);
+
BUS_RX : in CTRLBUS_RX;
BUS_TX : out CTRLBUS_TX
);
DIN_TYPE => dtype,
DIN_READY => data_rdy,
DIN_STAT => (others => '0'),
+ MY_ADDRESS_IN => MY_ADDRESS_IN,
FPGA_in => dirich_addr_i,
TRIGG_TYPE => trigger_code_i(11 downto 8),
CRI_APL_DATAREADY_OUT => cri_apl_dataready_2api_q,
CRI_CALIB_EOD_OUT => calib_finished_q,
+ MY_ADDRESS_IN => MY_ADDRESS_IN,
+
BUS_RX => BUS_CALIBRATON_RX,
BUS_TX => BUS_CALIBRATON_TX
);