REGIO_ONEWIRE_MONITOR_OUT <= '0';
REGIO_ONEWIRE_INOUT <= '0';
- XilinxDNA : entity work.trb_net_xdna
+ XilinxDNA : trb_net_xdna
port map(
CLK => CLK,
RESET => RESET,
);\r
end component;\r
\r
+ component trb_net_xdna is\r
+ port (\r
+ CLK : in std_logic;\r
+ RESET : in std_logic;\r
+ DATA_OUT : out std_logic_vector(15 downto 0);\r
+ ADDR_OUT : out std_logic_vector( 2 downto 0);\r
+ WRITE_OUT : out std_logic;\r
+ TEMP_OUT : out std_logic_vector(11 downto 0);\r
+ ID_OUT : out std_logic_vector(63 downto 0)\r
+ );\r
+end component trb_net_xdna;\r
\r
end package;\r