####################
-
-
#Packages
add_file -vhdl -lib work "workdir/version.vhd"
add_file -vhdl -lib work "config.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/main_rx_reset_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/main_tx_reset_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/sci_reader_RS.vhd"
-add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_lsm_RS.vhd"
-add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_rsl.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/med_sync_control_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp3_sfp/serdes_sync_all_RS.vhd"
add_file -vhdl -lib work "../../trbnet/trb_net16_api_ipu_streaming_internal.vhd"
-
#GbE
add_file -vhdl -lib work "../../trbnet/gbe_trb/base/gbe_wrapper.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/base/gbe_logic_wrapper.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/ipcores/ecp3/fifo_2kx9x18_wcnt.vhd"
add_file -vhdl -lib work "../../trbnet/gbe_trb/ipcores/ecp3/fifo_4kx18x9_wcnt.vhd"
-
add_file -vhdl -lib work "../../trbnet/special/handler_lvl1.vhd"
add_file -vhdl -lib work "../../trbnet/special/handler_data.vhd"
add_file -vhdl -lib work "../../trbnet/special/handler_ipu.vhd"
ADC_DIN : out std_logic;
ADC_DOUT : in std_logic;
--SPI
- DAC_OUT_SDO : out std_logic_vector(6 downto 5+2*USE_RJADAPT);
- DAC_OUT_SCK : out std_logic_vector(6 downto 5+2*USE_RJADAPT);
- DAC_OUT_CS : out std_logic_vector(6 downto 5+2*USE_RJADAPT);
- DAC_IN_SDI : in std_logic_vector(6 downto 5+2*USE_RJADAPT);
+ DAC_OUT_SDO : out std_logic_vector(6 downto 5+2*USE_RJADAPT);
+ DAC_OUT_SCK : out std_logic_vector(6 downto 5+2*USE_RJADAPT);
+ DAC_OUT_CS : out std_logic_vector(6 downto 5+2*USE_RJADAPT);
+ DAC_IN_SDI : in std_logic_vector(6 downto 5+2*USE_RJADAPT);
--Flash, 1-wire, Reload
FLASH_CLK : out std_logic;
FLASH_CS : out std_logic;
)
port map (
CLEAR => '0',
- LOCALCLK => clk_full_osc,
+ CLK_REF => clk_full_osc,
TX_PLL_LOL_QD_A_IN => '0',
TX_PLL_LOL_QD_B_IN => tx_pll_lol_qd_b_i,
TX_PLL_LOL_QD_C_IN => '0',
####################
-
-
#Packages
add_file -vhdl -lib work "workdir/version.vhd"
add_file -vhdl -lib work "config.vhd"
add_file -vhdl -lib work "../../trbnet/special/spi_flash_and_fpga_reload_record.vhd"
add_file -vhdl -lib work "../../trb3/base/code/sedcheck.vhd"
-
-
#Fifos
add_file -vhdl -lib work "../../trbnet/lattice/ecp3/spi_dpram_32_to_8.vhd"
add_file -vhdl -lib work "../../trbnet/lattice/ecp3/lattice_ecp3_fifo_18x1k.vhd"
add_file -vhdl -lib work "../../trbnet/lattice/ecp3/lattice_ecp3_fifo_18x16_dualport.vhd"
add_file -vhdl -lib work "../../trbnet/lattice/ecp3/lattice_ecp3_fifo_18x16_dualport_oreg.vhd"
-
#Flash & Reload, Tools
add_file -vhdl -lib work "../../trbnet/special/slv_register.vhd"
add_file -vhdl -lib work "../../trbnet/special/spi_master.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/med_sync_define_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_control_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/tx_control_RS.vhd"
+add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/main_rx_reset_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/main_tx_reset_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/sci_reader_RS.vhd"
-add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_lsm_RS.vhd"
-add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_rsl.vhd"
+#add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_lsm_RS.vhd"
+#add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/rx_rsl.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/sync/med_sync_control_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/ecp3_sfp/serdes_sync_all_RS.vhd"
add_file -vhdl -lib work "../../trbnet/media_interfaces/med_ecp3_sfp_sync_all_RS.vhd"
)
port map (
CLEAR => '0',
- LOCALCLK => clk_full_osc,
+ CLK_REF => clk_full_osc,
TX_PLL_LOL_QD_A_IN => '0',
TX_PLL_LOL_QD_B_IN => tx_pll_lol_qd_b_i,
TX_PLL_LOL_QD_C_IN => '0',
-- RJ_IO(1 downto 0) <= trig_gen_out_i(3 downto 2);
RJ_IO(3 downto 2) <= trig_gen_out_i(1 downto 0);
-
+ RJ_IO(1) <= debug_i(1);
+ RJ_IO(0) <= debug_i(0);
+
BACK_GPIO(1 downto 0) <= (others => 'Z');
BACK_GPIO(3 downto 2) <= trig_gen_out_i(3 downto 2);