SFP_MOD1 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0');
-
- SERDES_TX : out std_logic_vector(13 downto 0);
- SERDES_RX : in std_logic_vector(13 downto 0);
-
+
LED_HUB_LINKOK : out std_logic_vector(6 downto 1);
LED_HUB_RX : out std_logic_vector(6 downto 1);
LED_HUB_TX : out std_logic_vector(6 downto 1);
TX_DLM_WORD => open,
--SFP Connection
- SD_RXD_P_IN => SERDES_RX(0),
- SD_RXD_N_IN => SERDES_RX(1),
- SD_TXD_P_OUT => SERDES_TX(0),
- SD_TXD_N_OUT => SERDES_TX(1),
- SD_REFCLK_P_IN => '0',
- SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => SFP_MOD0(1),
SD_LOS_IN => SFP_LOS(1),
SD_TXDIS_OUT => SFP_TX_DIS(1),
SFP_MOD2 : inout std_logic_vector(1 downto 0) := (others => 'Z');
SFP_TX_DIS : out std_logic_vector(1 downto 0) := (others => '0');
- SERDES_TX : out std_logic_vector(1 downto 0);
- SERDES_RX : in std_logic_vector(1 downto 0);
-
--Serdes switch
PCSSW_ENSMB : out std_logic;
PCSSW_EQ : out std_logic_vector(3 downto 0);
TX_DLM_WORD => open,
--SFP Connection
- SD_RXD_P_IN => SERDES_RX(0),
- SD_RXD_N_IN => SERDES_RX(1),
- SD_TXD_P_OUT => SERDES_TX(0),
- SD_TXD_N_OUT => SERDES_TX(1),
- SD_REFCLK_P_IN => '0',
- SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => SFP_MOD0(1),
SD_LOS_IN => SFP_LOS(1),
SD_TXDIS_OUT => SFP_TX_DIS(1),
SFP_MOD1 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0');
-
- SERDES_TX : out std_logic_vector(1 downto 0);
- SERDES_RX : in std_logic_vector(1 downto 0);
-
+
--Serdes switch
PCSSW_ENSMB : out std_logic;
PCSSW_EQ : out std_logic_vector( 3 downto 0);
TX_DLM => open,
TX_DLM_WORD => open,
- --SFP Connection
- SD_REFCLK_P_IN => '0',
- SD_REFCLK_N_IN => '0',
- SD_PRSNT_N_IN => sfp_prsnt_i,
- SD_LOS_IN => sfp_los_i,
- SD_TXDIS_OUT => sfp_txdis_i,
- --Control Interface
- BUS_RX => bussci_rx,
- BUS_TX => bussci_tx,
- -- Status and control port
- STAT_DEBUG => med_stat_debug(63 downto 0),
- CTRL_DEBUG => open
- );
+ --SFP Connection
+ SD_PRSNT_N_IN => sfp_prsnt_i,
+ SD_LOS_IN => sfp_los_i,
+ SD_TXDIS_OUT => sfp_txdis_i,
+ --Control Interface
+ BUS_RX => bussci_rx,
+ BUS_TX => bussci_tx,
+ -- Status and control port
+ STAT_DEBUG => med_stat_debug(63 downto 0),
+ CTRL_DEBUG => open
+ );
SFP_TX_DIS(0) <= '1';
gen_sfp_con : if SERDES_NUM = 3 generate
SFP_MOD2 : inout std_logic_vector(1 downto 0) := (others => 'Z');
SFP_TX_DIS : out std_logic_vector(1 downto 0) := (others => '0');
- SERDES_TX : out std_logic_vector(1 downto 0);
- SERDES_RX : in std_logic_vector(1 downto 0);
-
--Serdes switch
PCSSW_ENSMB : out std_logic;
PCSSW_EQ : out std_logic_vector(3 downto 0);
attribute syn_useioff of FLASH_CS : signal is true;
attribute syn_useioff of FLASH_IN : signal is true;
attribute syn_useioff of FLASH_OUT : signal is true;
-
+ attribute syn_useioff of KEL : signal is false;
--Serdes: Backplane
--Backplane A2,A3,A0,A1 Slave 3,4,1,2, A0: TrbNet from backplane
RESET_OUT => reset_i,
CLEAR_OUT => clear_i,
- GSR_OUT => GSR_N,
+ GSR_OUT => open,
FULL_CLK_OUT => clk_full,
SYS_CLK_OUT => clk_sys,
CLKOP => clk_cal,
LOCK => open);
+GSR_N <= reset_i;
+
---------------------------------------------------------------------------
-- TrbNet Uplink
---------------------------------------------------------------------------
TX_DLM_WORD => open,
--SFP Connection
--- SD_RXD_P_IN => SERDES_RX(0),
--- SD_RXD_N_IN => SERDES_RX(1),
--- SD_TXD_P_OUT => SERDES_TX(0),
--- SD_TXD_N_OUT => SERDES_TX(1),
--- SD_REFCLK_P_IN => '0',
--- SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => SFP_MOD0(1),
SD_LOS_IN => SFP_LOS(1),
SD_TXDIS_OUT => SFP_TX_DIS(1),
TX_DLM_WORD => open,
--SFP Connection
- SD_REFCLK_P_IN => '0',
- SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => sfp_prsnt_i,
SD_LOS_IN => sfp_los_i,
SD_TXDIS_OUT => sfp_txdis_i,