--- /dev/null
+// ts_mac_core_beh.v generated by Lattice IP Model Creator version 1\r
+// created on Wed Mar 23 11:57:00 CST 2011\r
+// Copyright(c) 2007~2011 Lattice Semiconductor Corporation. All rights reserved\r
+// obfuscator_exe version 1.mar0807\r
+\r
+// top\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module hd2df10 (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en, \r
+ \r
+ \r
+ ks10d54, \r
+ ls86aa0, \r
+ \r
+ \r
+ ls35502,\r
+ lfaa812,\r
+ \r
+ \r
+ kd54097,\r
+ rva04bd,\r
+ cb25ef,\r
+ wl12f7d\r
+);\r
+parameter gq97bed = 8;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input ks10d54;\r
+input ls35502;\r
+input lfaa812;\r
+input [gq97bed-1:0] ls86aa0;\r
+output rva04bd;\r
+output cb25ef;\r
+output wl12f7d;\r
+output [gq97bed-1:0] kd54097;\r
+reg rva04bd;\r
+reg cb25ef;\r
+reg wl12f7d;\r
+reg [gq97bed-1:0] kd54097;\r
+reg wl95504;\r
+parameter jraa825 = 2;\r
+parameter uv5412f = 2'b01;\r
+parameter tja097d = 2'b10;\r
+parameter ng4bee = 0;\r
+parameter fc25f73 = 1;\r
+parameter fc2fb9a = 8'hd5;\r
+parameter me7dcd3 = 8'h55;\r
+wire zkee699;\r
+wire ne734ca;\r
+reg ep9a653;\r
+reg uvd329c;\r
+reg ng994e4;\r
+reg [jraa825-1:0] os5391b;\r
+reg [2:0] vk9c8d9;\r
+reg [jraa825-1:0] oh23653;\r
+reg zm1b29c;\r
+reg [gq97bed - 1 : 0] neca715;\r
+reg ic538ab;\r
+reg zm9c559;\r
+reg kde2acb;\r
+reg wy1565d;\r
+reg irab2e9;\r
+reg go5974c;\r
+reg mecba61;\r
+reg xw5d308;\r
+reg [jraa825 - 1 : 0] go4c21e;\r
+reg [2 : 0] dz610f0;\r
+reg [jraa825 - 1 : 0] ic43c3c;\r
+reg [2047:0] ng1e1e0;\r
+wire [12:0] mef0f02;\r
+\r
+localparam uk87811 = 13,gd3c088 = 32'hfdfff40b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+ \r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin kd54097 <= 0; end else if (rxmac_clk_en) begin if (zm1b29c) begin kd54097 <= neca715; end end\r
+end\r
+\r
+\r
+\r
+\r
+assign zkee699 = neca715[7:0] == fc2fb9a;\r
+assign ne734ca = neca715[7:0] == me7dcd3;\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin oh23653 <= uv5412f; vk9c8d9 <= 3'b0; rva04bd <= 1'b0; wl12f7d <= 1'b0; wl95504 <= 1'b0; cb25ef <= 1'b0; end else if (rxmac_clk_en) begin oh23653 <= go4c21e; wl95504 <= go5974c; cb25ef <= kde2acb; rva04bd <= 1'b0; \r
+ vk9c8d9 <= xw5d308 ? 3'h1 : (|dz610f0) ? (dz610f0+3'h1) : ic43c3c[ng4bee] ? 3'h0 : dz610f0;\r
+ if (mecba61) begin wl12f7d <= 1'b1; end else if (zm9c559) begin wl12f7d <= 1'b0; end else if (ic538ab) begin wl12f7d <= 1'b0; end end\r
+end\r
+\r
+\r
+always @(ic43c3c or ic538ab or irab2e9 or wy1565d or dz610f0) begin case(ic43c3c) uv5412f : begin if (ic538ab && wy1565d) begin os5391b = uv5412f; ep9a653 = 1'b1; uvd329c = 1'b0; ng994e4 = 1'b0; end else if (ic538ab && irab2e9) begin os5391b = tja097d; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b1; end else if (ic538ab && !wy1565d && !irab2e9) begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b1; ng994e4 = 1'b0; end\r
+ else begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b0; end end\r
+ tja097d : begin if (wy1565d) begin os5391b = uv5412f; ep9a653 = 1'b1; uvd329c = 1'b0; ng994e4 = 1'b0; end else if (~|dz610f0 & ~irab2e9) begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b1; ng994e4 = 1'b0; end else begin os5391b = tja097d; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b0; end\r
+ end\r
+ default : begin os5391b = uv5412f; ep9a653 = 1'b0; uvd329c = 1'b0; ng994e4 = 1'b0; end endcase\r
+end\r
+\r
+always@* begin zm1b29c<=mef0f02[0];neca715<={ls86aa0>>1,mef0f02[1]};ic538ab<=mef0f02[2];zm9c559<=mef0f02[3];kde2acb<=mef0f02[4];wy1565d<=mef0f02[5];irab2e9<=mef0f02[6];go5974c<=mef0f02[7];mecba61<=mef0f02[8];xw5d308<=mef0f02[9];go4c21e<={os5391b>>1,mef0f02[10]};dz610f0<={vk9c8d9>>1,mef0f02[11]};ic43c3c<={oh23653>>1,mef0f02[12]};end\r
+always@* begin ng1e1e0[2047]<=ls86aa0[0];ng1e1e0[2046]<=ls35502;ng1e1e0[2044]<=lfaa812;ng1e1e0[2040]<=wl95504;ng1e1e0[2032]<=zkee699;ng1e1e0[2016]<=ne734ca;ng1e1e0[1984]<=ep9a653;ng1e1e0[1920]<=uvd329c;ng1e1e0[1793]<=ng994e4;ng1e1e0[1539]<=os5391b[0];ng1e1e0[1030]<=vk9c8d9[0];ng1e1e0[1023]<=ks10d54;ng1e1e0[13]<=oh23653[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module xl8b21c (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ bn1ce70,\r
+ cb25ef,\r
+\r
+ \r
+ lfaa812,\r
+ ykce1a5,\r
+\r
+ \r
+ uv70d29,\r
+ hq8694d,\r
+ ec34a6a,\r
+ lfa5351,\r
+ gd29a8f,\r
+ rg4d47c,\r
+ mr6a3e2,\r
+ of51f14,\r
+ sj8f8a4,\r
+ tu7c524,\r
+ ale2922,\r
+ vk14915,\r
+\r
+ \r
+ wla48aa,\r
+\r
+ \r
+ ks24554,\r
+ zz22aa1,\r
+ mg1550f,\r
+ hdaa879,\r
+ en543cf,\r
+ uxa1e7d,\r
+ ukf3ec\r
+);\r
+parameter gq97bed = 8;\r
+parameter hocfb0b = 48;\r
+parameter ic7d85a = 6;\r
+parameter vvec2d1 = 8;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input cb25ef;\r
+input lfaa812;\r
+input ykce1a5;\r
+input [gq97bed-1:0] bn1ce70;\r
+input [vvec2d1-1:0] uv70d29;\r
+input [vvec2d1-1:0] hq8694d;\r
+input [vvec2d1-1:0] ec34a6a;\r
+input [vvec2d1-1:0] lfa5351;\r
+input [vvec2d1-1:0] gd29a8f;\r
+input [vvec2d1-1:0] rg4d47c;\r
+input [vvec2d1-1:0] mr6a3e2;\r
+input [vvec2d1-1:0] of51f14;\r
+input [hocfb0b-1:0] sj8f8a4;\r
+input tu7c524;\r
+input ale2922;\r
+input vk14915;\r
+input [ic7d85a-1:0] wla48aa;\r
+output zz22aa1;\r
+output mg1550f;\r
+output hdaa879;\r
+output en543cf;\r
+output uxa1e7d;\r
+output ukf3ec;\r
+output ks24554;\r
+reg en543cf;\r
+reg uxa1e7d;\r
+reg ukf3ec;\r
+reg zz22aa1;\r
+localparam zx5f6d5 = 11;\r
+localparam fafb6ae = 11'b00000000001;\r
+localparam uidb573 = 11'b00000000010;\r
+localparam rgdab98 = 11'b00000000100;\r
+localparam xwd5cc3 = 11'b00000001000;\r
+localparam mtae61a = 11'b00000010000;\r
+localparam ne730d4 = 11'b00000100000;\r
+localparam co986a3 = 11'b00001000000;\r
+localparam ipc3519 = 11'b00010000000;\r
+localparam qi1a8cd = 11'b00100000000;\r
+localparam osd4669 = 11'b01000000000;\r
+localparam uka3349 = 11'b10000000000;\r
+localparam ng4bee = 0;\r
+localparam fc25f73 = 1;\r
+localparam lq69236 = 2;\r
+localparam ic491b3 = 3;\r
+localparam rg48d98 = 4;\r
+localparam os46cc3 = 5;\r
+localparam kf36618 = 6;\r
+localparam ecb30c5 = 7;\r
+localparam ie98629 = 8;\r
+localparam enc3149 = 9;\r
+localparam mt18a4d = 10;\r
+wire fac526c;\r
+wire qv29362;\r
+wire ww49b15;\r
+wire dm4d8a9;\r
+wire ho6c54b;\r
+wire cm62a5b;\r
+reg ks152d9;\r
+reg oua96c9;\r
+reg [zx5f6d5-1:0] wj5b24d;\r
+reg [zx5f6d5-1:0] enc9365;\r
+wire kq49b2c;\r
+wire lq4d961;\r
+wire tu6cb0b;\r
+wire sh6585a;\r
+wire gd2c2d4;\r
+wire xj616a5;\r
+reg xyb52f;\r
+reg nr5a97b;\r
+reg [zx5f6d5-1:0] jra5ef8;\r
+reg [zx5f6d5-1:0] hb7be32;\r
+wire hodf196;\r
+reg shf8cb2;\r
+reg [vvec2d1-1:0] bn32cad;\r
+reg hq9656e;\r
+reg ngb2b72;\r
+wire an95b92;\r
+reg jeadc94;\r
+reg rt6e4a2;\r
+reg [zx5f6d5-1:0] co928b1;\r
+reg [zx5f6d5-1:0] baa2c4f;\r
+wire fp1627b;\r
+wire yzb13de;\r
+wire je89ef4;\r
+reg fn4f7a3;\r
+reg zx7bd1f;\r
+reg uide8fe;\r
+reg vif47f1;\r
+reg ksa3f8a;\r
+reg wy1fc51;\r
+reg lqfe289;\r
+reg rgf144b;\r
+reg ks24554;\r
+reg [gq97bed - 1 : 0] je896ad;\r
+reg al4b56a;\r
+reg zm9c559;\r
+reg byd5aaf;\r
+reg [vvec2d1 - 1 : 0] gb6abd3;\r
+reg [vvec2d1 - 1 : 0] ntaf4ee;\r
+reg [vvec2d1 - 1 : 0] czd3bb5;\r
+reg [vvec2d1 - 1 : 0] dmeed68;\r
+reg [vvec2d1 - 1 : 0] dbb5a1a;\r
+reg [vvec2d1 - 1 : 0] go686bb;\r
+reg [vvec2d1 - 1 : 0] ie1aec9;\r
+reg [vvec2d1 - 1 : 0] yzbb24e;\r
+reg [hocfb0b - 1 : 0] gbc93ad;\r
+reg ay49d6c;\r
+reg kd4eb61;\r
+reg ip75b0c;\r
+reg [ic7d85a - 1 : 0] lq6c32a;\r
+reg ld61953;\r
+reg dbca9e;\r
+reg ip654f7;\r
+reg hq2a7bd;\r
+reg yk53dea;\r
+reg zz9ef51;\r
+reg kdf7a8f;\r
+reg babd47c;\r
+reg [zx5f6d5 - 1 : 0] yx51f10;\r
+reg [zx5f6d5 - 1 : 0] jc7c424;\r
+reg yxe2122;\r
+reg aa10914;\r
+reg lf848a0;\r
+reg ou24507;\r
+reg fp22839;\r
+reg je141cf;\r
+reg jra0e7b;\r
+reg mt73de;\r
+reg [zx5f6d5 - 1 : 0] rgcf7ad;\r
+reg [zx5f6d5 - 1 : 0] icdeb5e;\r
+reg cmf5af1;\r
+reg twad789;\r
+reg [vvec2d1 - 1 : 0] cz5e25f;\r
+reg dmf12fc;\r
+reg xy897e2;\r
+reg ip4bf12;\r
+reg th5f894;\r
+reg pffc4a7;\r
+reg [zx5f6d5 - 1 : 0] wl129f4;\r
+reg [zx5f6d5 - 1 : 0] doa7d2e;\r
+reg sw3e973;\r
+reg rgf4b98;\r
+reg lfa5cc3;\r
+reg aa2e61e;\r
+reg vi730f0;\r
+reg ou98783;\r
+reg alc3c19;\r
+reg ba1e0cc;\r
+reg uif0662;\r
+reg do83310;\r
+reg fp19883;\r
+reg [2047:0] ng1e1e0;\r
+wire [57:0] mef0f02;\r
+\r
+localparam uk87811 = 58,gd3c088 = 32'hfdffc68b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+assign fp1627b = (jc7c424[os46cc3] | jc7c424[mt18a4d]) & (ip75b0c | kdf7a8f | jra0e7b | (kd4eb61 & th5f894));\r
+assign je89ef4 = (jc7c424[os46cc3] | jc7c424[mt18a4d]) & ~sw3e973;\r
+assign yzb13de = aa2e61e & ~(vi730f0 | (xy897e2 & ay49d6c & twad789));\r
+\r
+assign mg1550f = ba1e0cc | alc3c19;\r
+\r
+assign hdaa879 = uif0662 | ou98783;\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin vif47f1 <= 1'b0; ksa3f8a <= 1'b0; wy1fc51 <= 1'b0; lqfe289 <= 1'b0; rgf144b <= 1'b0; enc9365 <= fafb6ae; hb7be32 <= fafb6ae; baa2c4f <= fafb6ae; zz22aa1 <= 1'b0; zx7bd1f <= 1'b0; ukf3ec <= 1'b0; en543cf <= 1'b0; uxa1e7d <= 1'b0; hq9656e <= 1'b0; ngb2b72 <= 1'b0; fn4f7a3 <= 1'b0; uide8fe <= 1'b0; end else if (rxmac_clk_en) begin rgf144b <= byd5aaf; vif47f1 <= (xy897e2 & ay49d6c & twad789); ksa3f8a <= vi730f0; wy1fc51 <= rgf4b98; lqfe289 <= al4b56a; if(fp19883) begin enc9365 <= fafb6ae; hb7be32 <= fafb6ae; baa2c4f <= fafb6ae; end else begin enc9365 <= yx51f10; hb7be32 <= rgcf7ad; baa2c4f <= wl129f4; end zx7bd1f <= sw3e973; fn4f7a3 <= lfa5cc3; ngb2b72 <= cmf5af1; if (al4b56a) hq9656e <= je896ad[0]; if (kdf7a8f) zz22aa1 <= kdf7a8f; else if (zm9c559) zz22aa1 <= kdf7a8f; else zz22aa1 <= zz22aa1; if (jra0e7b) ukf3ec <= jra0e7b; else if (zm9c559) ukf3ec <= jra0e7b; else ukf3ec <= ukf3ec; if (rgf4b98 | zm9c559) uide8fe <= rgf4b98; else if (al4b56a) uide8fe <= 1'b0; else uide8fe <= ou98783; if (cmf5af1) en543cf <= (cmf5af1); else if (zm9c559) en543cf <= cmf5af1; else if (al4b56a) en543cf <= 1'b0; else en543cf <= en543cf; if (th5f894) uxa1e7d <= th5f894; else if (zm9c559) uxa1e7d <= th5f894; else if (do83310) uxa1e7d <= 1'b0; else uxa1e7d <= uxa1e7d; end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+assign cm62a5b = gbc93ad[47:40] == je896ad;\r
+\r
+assign ho6c54b = gbc93ad[39:32] == je896ad;\r
+\r
+assign dm4d8a9 = gbc93ad[31:24] == je896ad;\r
+\r
+assign ww49b15 = gbc93ad[23:16] == je896ad;\r
+\r
+assign qv29362 = gbc93ad[15:8] == je896ad;\r
+\r
+assign fac526c = gbc93ad[7:0] == je896ad;\r
+\r
+\r
+always @(jc7c424 or al4b56a or ld61953 or dbca9e or ip654f7 or hq2a7bd or yk53dea or zz9ef51) begin ks24554 = 1'b1; case(jc7c424) fafb6ae : begin if (!al4b56a) begin wj5b24d = fafb6ae; ks152d9 = 1'b0; oua96c9 = 1'b0; ks24554 = 1'b0; end else if (al4b56a) begin if (zz9ef51) begin wj5b24d = uidb573; ks152d9 = 1'b0; oua96c9 = 1'b0; end else begin wj5b24d = co986a3; ks152d9 = 1'b0; oua96c9 = 1'b0; end end end uidb573 : begin if (yk53dea) begin wj5b24d = rgdab98; ks152d9 = 1'b0; oua96c9 = 1'b0; end else begin wj5b24d = ipc3519; ks152d9 = 1'b0; oua96c9 = 1'b0; end end rgdab98 : begin if (hq2a7bd) begin wj5b24d = xwd5cc3; ks152d9 = 1'b0; oua96c9 = 1'b0; end else begin wj5b24d = qi1a8cd; ks152d9 = 1'b0; oua96c9 = 1'b0; end end xwd5cc3 : begin if (ip654f7) begin wj5b24d = mtae61a; ks152d9 = 1'b0; oua96c9 = 1'b0; end else begin wj5b24d = osd4669; ks152d9 = 1'b0; oua96c9 = 1'b0; end end mtae61a : begin if (dbca9e) begin wj5b24d = ne730d4; ks152d9 = 1'b0; oua96c9 = 1'b0; end else begin wj5b24d = uka3349; ks152d9 = 1'b0; oua96c9 = 1'b0; end end ne730d4 : begin if (ld61953) begin wj5b24d = fafb6ae; ks152d9 = 1'b1; oua96c9 = 1'b0; end else begin wj5b24d = fafb6ae; ks152d9 = 1'b0; oua96c9 = 1'b1; end end co986a3 : begin wj5b24d = ipc3519; ks152d9 = 1'b0; oua96c9 = 1'b0; end ipc3519 : begin wj5b24d = qi1a8cd; ks152d9 = 1'b0; oua96c9 = 1'b0; end qi1a8cd : begin wj5b24d = osd4669; ks152d9 = 1'b0; oua96c9 = 1'b0; end osd4669 : begin wj5b24d = uka3349; ks152d9 = 1'b0; oua96c9 = 1'b0; end uka3349 : begin wj5b24d = fafb6ae; ks152d9 = 1'b0; oua96c9 = 1'b1; end default : begin wj5b24d = fafb6ae; ks152d9 = 1'b0; oua96c9 = 1'b0; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign xj616a5 = 8'h01 == je896ad;\r
+\r
+assign gd2c2d4 = 8'h80 == je896ad;\r
+\r
+assign sh6585a = 8'hc2 == je896ad;\r
+\r
+assign tu6cb0b = 8'h00 == je896ad;\r
+\r
+assign lq4d961 = 8'h00 == je896ad;\r
+\r
+assign kq49b2c = 8'h01 == je896ad;\r
+\r
+\r
+always @(icdeb5e or al4b56a or yxe2122 or aa10914 or lf848a0 or ou24507 or fp22839 or je141cf) begin case(icdeb5e) fafb6ae : begin if (!al4b56a) begin jra5ef8 = fafb6ae; xyb52f = 1'b0; nr5a97b = 1'b0; end else if (al4b56a) begin if (je141cf) begin jra5ef8 = uidb573; xyb52f = 1'b0; nr5a97b = 1'b0; end else begin jra5ef8 = co986a3; xyb52f = 1'b0; nr5a97b = 1'b0; end end end uidb573 : begin if (fp22839) begin jra5ef8 = rgdab98; xyb52f = 1'b0; nr5a97b = 1'b0; end else begin jra5ef8 = ipc3519; xyb52f = 1'b0; nr5a97b = 1'b0; end end rgdab98 : begin if (ou24507) begin jra5ef8 = xwd5cc3; xyb52f = 1'b0; nr5a97b = 1'b0; end else begin jra5ef8 = qi1a8cd; xyb52f = 1'b0; nr5a97b = 1'b0; end end xwd5cc3 : begin if (lf848a0) begin jra5ef8 = mtae61a; xyb52f = 1'b0; nr5a97b = 1'b0; end else begin jra5ef8 = osd4669; xyb52f = 1'b0; nr5a97b = 1'b0; end end mtae61a : begin if (aa10914) begin jra5ef8 = ne730d4; xyb52f = 1'b0; nr5a97b = 1'b0; end else begin jra5ef8 = uka3349; xyb52f = 1'b0; nr5a97b = 1'b0; end end ne730d4 : begin if (yxe2122) begin jra5ef8 = fafb6ae; xyb52f = 1'b1; nr5a97b = 1'b0; end else begin jra5ef8 = fafb6ae; xyb52f = 1'b0; nr5a97b = 1'b1; end end co986a3 : begin jra5ef8 = ipc3519; xyb52f = 1'b0; nr5a97b = 1'b0; end ipc3519 : begin jra5ef8 = qi1a8cd; xyb52f = 1'b0; nr5a97b = 1'b0; end qi1a8cd : begin jra5ef8 = osd4669; xyb52f = 1'b0; nr5a97b = 1'b0; end osd4669 : begin jra5ef8 = uka3349; xyb52f = 1'b0; nr5a97b = 1'b0; end uka3349 : begin jra5ef8 = fafb6ae; xyb52f = 1'b0; nr5a97b = 1'b1; end default : begin jra5ef8 = fafb6ae; xyb52f = 1'b0; nr5a97b = 1'b0; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign hodf196 = dmf12fc & jc7c424[mt18a4d] & ~th5f894 & ~jra0e7b ;\r
+\r
+always @ (lq6c32a[5:3] or gb6abd3 or ntaf4ee or czd3bb5 or dmeed68 or dbb5a1a or go686bb or ie1aec9 or yzbb24e) begin case (lq6c32a[5:3]) 3'b000 : begin bn32cad = gb6abd3; end 3'b001 : begin bn32cad = ntaf4ee; end 3'b010 : begin bn32cad = czd3bb5; end 3'b011 : begin bn32cad = dmeed68; end 3'b100 : begin bn32cad = dbb5a1a; end 3'b101 : begin bn32cad = go686bb; end 3'b110 : begin bn32cad = ie1aec9; end 3'b111 : begin bn32cad = yzbb24e; end endcase\r
+end\r
+\r
+always @ (lq6c32a[2:0] or cz5e25f) begin case (lq6c32a[2:0]) 3'b000 : begin shf8cb2 = cz5e25f[0]; end 3'b001 : begin shf8cb2 = cz5e25f[1]; end 3'b010 : begin shf8cb2 = cz5e25f[2]; end 3'b011 : begin shf8cb2 = cz5e25f[3]; end 3'b100 : begin shf8cb2 = cz5e25f[4]; end 3'b101 : begin shf8cb2 = cz5e25f[5]; end 3'b110 : begin shf8cb2 = cz5e25f[6]; end 3'b111 : begin shf8cb2 = cz5e25f[7]; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign an95b92 = 8'hff == je896ad;\r
+\r
+\r
+always @(doa7d2e or al4b56a or ip4bf12) begin case(doa7d2e) fafb6ae : begin if (!al4b56a) begin co928b1 = fafb6ae; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end else if (al4b56a) begin if (ip4bf12) begin co928b1 = uidb573; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end else begin co928b1 = co986a3; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end end end uidb573 : begin if (ip4bf12) begin co928b1 = rgdab98; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end else begin co928b1 = ipc3519; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end end rgdab98 : begin if (ip4bf12) begin co928b1 = xwd5cc3; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end else begin co928b1 = qi1a8cd; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end end xwd5cc3 : begin if (ip4bf12) begin co928b1 = mtae61a; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end else begin co928b1 = osd4669; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end end mtae61a : begin if (ip4bf12) begin co928b1 = ne730d4; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end else begin co928b1 = uka3349; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end end ne730d4 : begin if (ip4bf12) begin co928b1 = fafb6ae; jeadc94 = 1'b1; rt6e4a2 = 1'b0; end else begin co928b1 = fafb6ae; jeadc94 = 1'b0; rt6e4a2 = 1'b1; end end co986a3 : begin co928b1 = ipc3519; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end ipc3519 : begin co928b1 = qi1a8cd; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end qi1a8cd : begin co928b1 = osd4669; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end osd4669 : begin co928b1 = uka3349; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end uka3349 : begin co928b1 = fafb6ae; jeadc94 = 1'b0; rt6e4a2 = 1'b1; end default : begin co928b1 = fafb6ae; jeadc94 = 1'b0; rt6e4a2 = 1'b0; end endcase\r
+end\r
+\r
+always@* begin je896ad<={bn1ce70>>1,mef0f02[0]};al4b56a<=mef0f02[1];zm9c559<=mef0f02[2];byd5aaf<=mef0f02[3];gb6abd3<={uv70d29>>1,mef0f02[4]};ntaf4ee<={hq8694d>>1,mef0f02[5]};czd3bb5<={ec34a6a>>1,mef0f02[6]};dmeed68<={lfa5351>>1,mef0f02[7]};dbb5a1a<={gd29a8f>>1,mef0f02[8]};go686bb<={rg4d47c>>1,mef0f02[9]};ie1aec9<={mr6a3e2>>1,mef0f02[10]};yzbb24e<={of51f14>>1,mef0f02[11]};gbc93ad<={sj8f8a4>>1,mef0f02[12]};ay49d6c<=mef0f02[13];kd4eb61<=mef0f02[14];ip75b0c<=mef0f02[15];lq6c32a<={wla48aa>>1,mef0f02[16]};ld61953<=mef0f02[17];dbca9e<=mef0f02[18];ip654f7<=mef0f02[19];hq2a7bd<=mef0f02[20];yk53dea<=mef0f02[21];zz9ef51<=mef0f02[22];kdf7a8f<=mef0f02[23];babd47c<=mef0f02[24];yx51f10<={wj5b24d>>1,mef0f02[25]};jc7c424<={enc9365>>1,mef0f02[26]};yxe2122<=mef0f02[27];aa10914<=mef0f02[28];lf848a0<=mef0f02[29];ou24507<=mef0f02[30];fp22839<=mef0f02[31];je141cf<=mef0f02[32];jra0e7b<=mef0f02[33];mt73de<=mef0f02[34];rgcf7ad<={jra5ef8>>1,mef0f02[35]};icdeb5e<={hb7be32>>1,mef0f02[36]};cmf5af1<=mef0f02[37];twad789<=mef0f02[38];cz5e25f<={bn32cad>>1,mef0f02[39]};dmf12fc<=mef0f02[40];xy897e2<=mef0f02[41];ip4bf12<=mef0f02[42];th5f894<=mef0f02[43];pffc4a7<=mef0f02[44];wl129f4<={co928b1>>1,mef0f02[45]};doa7d2e<={baa2c4f>>1,mef0f02[46]};sw3e973<=mef0f02[47];rgf4b98<=mef0f02[48];lfa5cc3<=mef0f02[49];aa2e61e<=mef0f02[50];vi730f0<=mef0f02[51];ou98783<=mef0f02[52];alc3c19<=mef0f02[53];ba1e0cc<=mef0f02[54];uif0662<=mef0f02[55];do83310<=mef0f02[56];fp19883<=mef0f02[57];end\r
+always@* begin ng1e1e0[2047]<=cb25ef;ng1e1e0[2046]<=lfaa812;ng1e1e0[2044]<=ykce1a5;ng1e1e0[2040]<=uv70d29[0];ng1e1e0[2032]<=hq8694d[0];ng1e1e0[2017]<=ec34a6a[0];ng1e1e0[1987]<=lfa5351[0];ng1e1e0[1980]<=je89ef4;ng1e1e0[1963]<=xyb52f;ng1e1e0[1942]<=ksa3f8a;ng1e1e0[1926]<=gd29a8f[0];ng1e1e0[1921]<=ks152d9;ng1e1e0[1913]<=fn4f7a3;ng1e1e0[1903]<=an95b92;ng1e1e0[1879]<=nr5a97b;ng1e1e0[1837]<=wy1fc51;ng1e1e0[1805]<=rg4d47c[0];ng1e1e0[1795]<=oua96c9;ng1e1e0[1783]<=baa2c4f[0];ng1e1e0[1778]<=zx7bd1f;ng1e1e0[1758]<=jeadc94;ng1e1e0[1710]<=jra5ef8[0];ng1e1e0[1679]<=wla48aa[0];ng1e1e0[1627]<=lqfe289;ng1e1e0[1562]<=mr6a3e2[0];ng1e1e0[1543]<=wj5b24d[0];ng1e1e0[1519]<=fp1627b;ng1e1e0[1509]<=uide8fe;ng1e1e0[1499]<=hq9656e;ng1e1e0[1469]<=rt6e4a2;ng1e1e0[1398]<=shf8cb2;ng1e1e0[1373]<=hb7be32[0];ng1e1e0[1310]<=fac526c;ng1e1e0[1207]<=rgf144b;ng1e1e0[1144]<=ww49b15;ng1e1e0[1076]<=of51f14[0];ng1e1e0[1039]<=enc9365[0];ng1e1e0[1023]<=bn1ce70[0];ng1e1e0[990]<=yzb13de;ng1e1e0[981]<=xj616a5;ng1e1e0[971]<=vif47f1;ng1e1e0[960]<=cm62a5b;ng1e1e0[951]<=ngb2b72;ng1e1e0[891]<=co928b1[0];ng1e1e0[839]<=vk14915;ng1e1e0[749]<=bn32cad[0];ng1e1e0[699]<=hodf196;ng1e1e0[572]<=qv29362;ng1e1e0[490]<=gd2c2d4;ng1e1e0[480]<=ho6c54b;ng1e1e0[419]<=ale2922;ng1e1e0[245]<=sh6585a;ng1e1e0[240]<=dm4d8a9;ng1e1e0[209]<=tu7c524;ng1e1e0[122]<=tu6cb0b;ng1e1e0[104]<=sj8f8a4[0];ng1e1e0[61]<=lq4d961;ng1e1e0[30]<=kq49b2c;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module uvd3c42 (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ tu42b90,\r
+ wy15c82,\r
+ epae410,\r
+\r
+ \r
+ rx_fifo_full,\r
+\r
+ \r
+ ep90421,\r
+\r
+ \r
+ sw8210d,\r
+ sw10869,\r
+ zz84348,\r
+ sw21a46,\r
+\r
+ \r
+ rx_fifo_error,\r
+ rx_stat_vector,\r
+ rx_dbout,\r
+ rx_write,\r
+ rx_stat_en,\r
+ rx_eof,\r
+ rx_error\r
+);\r
+parameter gq97bed = 8;\r
+parameter ofd97a2 = 20;\r
+parameter ykcbd15 = 32;\r
+parameter qt5e8a8 = 8;\r
+parameter psf4545 = 4;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input wy15c82;\r
+input tu42b90;\r
+input [1:0] epae410;\r
+input rx_fifo_full;\r
+input [ofd97a2-1:0] ep90421;\r
+output [qt5e8a8-1:0] sw8210d;\r
+output [qt5e8a8-1:0] sw10869;\r
+output zz84348;\r
+output sw21a46;\r
+output rx_fifo_error;\r
+output [ykcbd15-1:0] rx_stat_vector;\r
+output [gq97bed-1:0] rx_dbout;\r
+output rx_write;\r
+output rx_stat_en;\r
+output rx_eof;\r
+output rx_error;\r
+reg [qt5e8a8:0] of4bc4f;\r
+reg [qt5e8a8:0] vif13e4;\r
+wire zz84348;\r
+wire sw21a46;\r
+reg rx_fifo_error;\r
+reg [ykcbd15-1:0] rx_stat_vector;\r
+reg [gq97bed-1:0] rx_dbout ;\r
+reg [gq97bed-1:0] hd9bdf7;\r
+reg [gq97bed-1:0] wjf7df9;\r
+reg rx_write ;\r
+reg ipf7e64;\r
+reg ntbf324;\r
+reg jpf9927;\r
+reg rx_stat_en;\r
+reg rx_eof;\r
+reg rx_error;\r
+reg [ofd97a2-1:0] tw3fb87;\r
+reg alfdc3a;\r
+wire hoee1d5;\r
+wire al70eaa;\r
+reg co87555;\r
+reg vk3aaa9;\r
+reg psd554a;\r
+wire fpaaa53;\r
+reg [psf4545-1:0] coa94ff;\r
+reg rt4a7f9;\r
+wire [qt5e8a8:0] wl9fe79;\r
+reg [qt5e8a8:0] ldf9e69;\r
+reg rgcf34f;\r
+reg ps79a7b;\r
+reg zxcd3db;\r
+reg xw69ede;\r
+reg zx4f6f2;\r
+reg dz7b797;\r
+reg [1 : 0] eadbcbf;\r
+reg wwde5f9;\r
+reg [ofd97a2 - 1 : 0] ks97e44;\r
+reg [qt5e8a8 : 0] pff910f;\r
+reg [qt5e8a8 : 0] wj443f7;\r
+reg [gq97bed - 1 : 0] ukfde0;\r
+reg [gq97bed - 1 : 0] off7806;\r
+reg gqbc034;\r
+reg yke01a0;\r
+reg ecd01;\r
+reg [ofd97a2 - 1 : 0] aa3404e;\r
+reg bna0270;\r
+reg do1387;\r
+reg bn9c3a;\r
+reg sh4e1d7;\r
+reg yx70ebd;\r
+reg mg875ed;\r
+reg xy3af69;\r
+reg [psf4545 - 1 : 0] ribda57;\r
+reg ofed2bb;\r
+reg [qt5e8a8 : 0] fn4aeeb;\r
+reg [qt5e8a8 : 0] gdbbaf2;\r
+reg bldd794;\r
+reg zkebca7;\r
+reg sh5e53b;\r
+reg czf29dc;\r
+reg [2047:0] ng1e1e0;\r
+wire [27:0] mef0f02;\r
+\r
+localparam uk87811 = 28,gd3c088 = 32'hfdffc68b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+assign sw8210d = pff910f[qt5e8a8-1:0];\r
+assign sw10869 = wj443f7[qt5e8a8-1:0];\r
+assign wl9fe79 = ({(pff910f[qt5e8a8] ^ wj443f7[qt5e8a8]), pff910f[qt5e8a8-1:0]}) - ({1'b0, wj443f7[qt5e8a8-1:0]});\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin alfdc3a <= 0; co87555 <= 0; end else if (rxmac_clk_en) begin co87555 <= bn9c3a; if ((|ribda57) | (do1387)) begin alfdc3a <= 1; end else begin alfdc3a <= 0; end end\r
+end\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin coa94ff <= 4'h0; rt4a7f9 <= 1'b0; psd554a <= 1'b0; of4bc4f <= 9'd0; vif13e4 <= 9'd0; ldf9e69 <= 9'd0; rgcf34f <= 1'b0; ps79a7b <= 1'b0; tw3fb87 <= 1'b0; rx_stat_vector <= 32'b0; rx_dbout <= 8'd0; hd9bdf7 <= 8'd0; wjf7df9 <= 8'd0; rx_write <= 1'b0; ipf7e64 <= 1'b0; ntbf324 <= 1'b0; jpf9927 <= 1'b0; rx_stat_en <= 1'b0; rx_eof <= 1'b0; rx_error <= 1'b0; vk3aaa9 <= 1'b0; zxcd3db <= 1'b0; xw69ede <= 1'b0; rx_fifo_error <= 1'b0; end else if (rxmac_clk_en) begin tw3fb87 <= ks97e44;\r
+ rx_fifo_error <= xy3af69 | (rx_fifo_error & ~rx_eof); if ((zx4f6f2 == 1) & (eadbcbf == 3)) begin vk3aaa9 <= 1; end else begin vk3aaa9 <= 0; end zxcd3db <= yx70ebd; xw69ede <= dz7b797; if (mg875ed == 1) begin coa94ff <= 0; end else if (!bn9c3a && sh5e53b) begin coa94ff <= ribda57 + 4'b0001; end else if (bn9c3a && !sh5e53b) begin coa94ff <= ribda57 - 4'b0001; end if ((fn4aeeb[qt5e8a8-1:1] == 0) && ((fn4aeeb[0] == 0) || (zz84348 == 1))) begin psd554a <= 1; end else begin psd554a <= 0; end if (fn4aeeb[qt5e8a8-1:2] == 6'b111111) begin rt4a7f9 <= 1; end else begin rt4a7f9 <= 0; end\r
+ if (yx70ebd) ldf9e69 <= pff910f + 8'd1; if (zx4f6f2) of4bc4f <= pff910f + 8'd1; else if (czf29dc) of4bc4f <= gdbbaf2; if (zz84348) vif13e4 <= wj443f7 + 8'd1; \r
+ rgcf34f <= zz84348; ps79a7b <= bldd794; if (aa3404e[18]) begin rx_stat_vector <= {aa3404e[15:0], ks97e44[15:0]}; end wjf7df9 <= aa3404e[7:0]; hd9bdf7 <= off7806; rx_dbout <= ukfde0; ntbf324 <= zkebca7 & ~wwde5f9 & ~aa3404e[19]; ipf7e64 <= yke01a0; rx_write <= gqbc034; jpf9927 <= bldd794 & aa3404e[18]; rx_stat_en <= ecd01; rx_eof <= zkebca7 & aa3404e[17]; rx_error <= zkebca7 & aa3404e[16]; end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign hoee1d5 = (fn4aeeb[qt5e8a8-1:0] >= 7'h42);\r
+\r
+\r
+assign sw21a46 = zx4f6f2 & ~ofed2bb;\r
+assign zz84348 = bna0270 & ~mg875ed & ~bn9c3a & ~sh4e1d7;\r
+\r
+\r
+assign al70eaa = bldd794 & aa3404e[18];\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign fpaaa53 = (bna0270 | rx_write) & wwde5f9 & ~mg875ed & ~bn9c3a;\r
+\r
+always@* begin zx4f6f2<=mef0f02[0];dz7b797<=mef0f02[1];eadbcbf<={epae410>>1,mef0f02[2]};wwde5f9<=mef0f02[3];ks97e44<={ep90421>>1,mef0f02[4]};pff910f<={of4bc4f>>1,mef0f02[5]};wj443f7<={vif13e4>>1,mef0f02[6]};ukfde0<={hd9bdf7>>1,mef0f02[7]};off7806<={wjf7df9>>1,mef0f02[8]};gqbc034<=mef0f02[9];yke01a0<=mef0f02[10];ecd01<=mef0f02[11];aa3404e<={tw3fb87>>1,mef0f02[12]};bna0270<=mef0f02[13];do1387<=mef0f02[14];bn9c3a<=mef0f02[15];sh4e1d7<=mef0f02[16];yx70ebd<=mef0f02[17];mg875ed<=mef0f02[18];xy3af69<=mef0f02[19];ribda57<={coa94ff>>1,mef0f02[20]};ofed2bb<=mef0f02[21];fn4aeeb<={wl9fe79>>1,mef0f02[22]};gdbbaf2<={ldf9e69>>1,mef0f02[23]};bldd794<=mef0f02[24];zkebca7<=mef0f02[25];sh5e53b<=mef0f02[26];czf29dc<=mef0f02[27];end\r
+always@* begin ng1e1e0[2047]<=wy15c82;ng1e1e0[2046]<=epae410[0];ng1e1e0[2044]<=rx_fifo_full;ng1e1e0[2040]<=ep90421[0];ng1e1e0[2032]<=of4bc4f[0];ng1e1e0[2017]<=vif13e4[0];ng1e1e0[1987]<=hd9bdf7[0];ng1e1e0[1926]<=wjf7df9[0];ng1e1e0[1921]<=ldf9e69[0];ng1e1e0[1805]<=ipf7e64;ng1e1e0[1795]<=rgcf34f;ng1e1e0[1679]<=co87555;ng1e1e0[1562]<=ntbf324;ng1e1e0[1543]<=ps79a7b;ng1e1e0[1310]<=vk3aaa9;ng1e1e0[1144]<=fpaaa53;ng1e1e0[1076]<=jpf9927;ng1e1e0[1039]<=zxcd3db;ng1e1e0[1023]<=tu42b90;ng1e1e0[960]<=wl9fe79[0];ng1e1e0[839]<=al70eaa;ng1e1e0[572]<=psd554a;ng1e1e0[480]<=rt4a7f9;ng1e1e0[419]<=hoee1d5;ng1e1e0[240]<=coa94ff[0];ng1e1e0[209]<=alfdc3a;ng1e1e0[104]<=tw3fb87[0];ng1e1e0[30]<=xw69ede;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module cm4cad9 (\r
+\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ bn1ce70,\r
+\r
+ \r
+ lfaa812,\r
+ jc4d12d,\r
+ ykce1a5,\r
+ gb44b50,\r
+\r
+ \r
+ ba25a85,\r
+\r
+ \r
+\r
+ ukf3ec,\r
+ zz22aa1,\r
+\r
+ \r
+\r
+ jp50abc,\r
+ ep855e6,\r
+ hq2af34,\r
+ dm579a3,\r
+ ksbcd1b,\r
+ lde68d9,\r
+ ec346cf,\r
+ eca3678,\r
+ xy1b3c0,\r
+ qtd9e02,\r
+ lqcf014,\r
+ su780a3,\r
+ rgc0518,\r
+ ir28c2\r
+\r
+);\r
+parameter gq97bed = 8;\r
+parameter mga30a3 = 14;\r
+parameter kf18518 = 16;\r
+parameter vvc28c5 = 16;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input lfaa812;\r
+input jc4d12d;\r
+input ykce1a5;\r
+input gb44b50;\r
+input zz22aa1;\r
+input ukf3ec;\r
+input [gq97bed-1:0] bn1ce70;\r
+input [kf18518-1:0] ba25a85;\r
+output jp50abc;\r
+output ep855e6;\r
+output hq2af34;\r
+output ec346cf;\r
+output eca3678;\r
+output xy1b3c0;\r
+output lqcf014;\r
+output rgc0518;\r
+output ksbcd1b;\r
+output ir28c2;\r
+output [vvc28c5-1:0] qtd9e02;\r
+output [kf18518-1:0] su780a3;\r
+output [mga30a3-1:0] dm579a3;\r
+output [mga30a3-1:0] lde68d9;\r
+reg jp50abc;\r
+reg ep855e6;\r
+reg hq2af34;\r
+reg ec346cf;\r
+reg eca3678;\r
+reg xy1b3c0;\r
+reg rgc0518;\r
+reg ir28c2;\r
+reg [mga30a3-1:0] lde68d9;\r
+reg [mga30a3-1:0] dm579a3;\r
+reg [kf18518-1:0] su780a3;\r
+reg [gq97bed-1:0] gq3eb5e;\r
+wire lqcf014;\r
+wire [vvc28c5-1:0] qtd9e02;\r
+reg ksbcd1b;\r
+localparam ykf02fc = 16'h8808;\r
+localparam lf817e0 = 16'h8100;\r
+localparam lsbf06 = 16'd46;\r
+localparam rt5f832 = 16'd42;\r
+localparam zxfc197 = 9;\r
+localparam uie0cbe = 9'b000000001;\r
+localparam xl65f0 = 9'b000000010;\r
+localparam jr32f87 = 9'b000000100;\r
+localparam sw97c3e = 9'b000001000;\r
+localparam cbbe1f1 = 9'b000010000;\r
+localparam wwf0f8d = 9'b000100000;\r
+localparam wy87c69 = 9'b001000000;\r
+localparam uk3e348 = 9'b010000000;\r
+localparam lqf1a41 = 9'b100000000;\r
+wire mg8d20b;\r
+wire xw69058;\r
+wire by482c3;\r
+wire of4161a;\r
+wire jeb0d4;\r
+wire dm586a3;\r
+wire qtc351b;\r
+wire co1a8dd;\r
+wire god46e9;\r
+reg [zxfc197-1:0] do1ba48;\r
+reg rtdd243;\r
+reg zxe921f;\r
+reg qg490ff;\r
+reg dm487f8;\r
+reg [zxfc197-1:0] qi1fe10;\r
+reg rgf144b;\r
+reg [gq97bed - 1 : 0] je896ad;\r
+reg zm9c559;\r
+reg fp81339;\r
+reg byd5aaf;\r
+reg os4ce77;\r
+reg [kf18518 - 1 : 0] qv39dc8;\r
+reg eacee45;\r
+reg kq77228;\r
+reg [gq97bed - 1 : 0] ldc8a08;\r
+reg vv45047;\r
+reg ph2823e;\r
+reg qt411f7;\r
+reg an8fbc;\r
+reg jc47de5;\r
+reg uk3ef2a;\r
+reg ipf7950;\r
+reg ksbca84;\r
+reg ice5421;\r
+reg [zxfc197 - 1 : 0] bl5084a;\r
+reg tj84256;\r
+reg wy212b6;\r
+reg rv95b1;\r
+reg nr4ad8e;\r
+reg [zxfc197 - 1 : 0] swb63b1;\r
+reg fp19883;\r
+reg [2047:0] ng1e1e0;\r
+wire [24:0] mef0f02;\r
+\r
+localparam uk87811 = 25,gd3c088 = 32'hfdffca8b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign mg8d20b = fp81339 && ({ldc8a08, je896ad} == ykf02fc);\r
+assign xw69058 = fp81339 && ({ldc8a08, je896ad} != ykf02fc);\r
+\r
+\r
+\r
+\r
+assign by482c3 = fp81339 && ({ldc8a08, je896ad} == lf817e0);\r
+\r
+\r
+\r
+\r
+\r
+assign of4161a = ((fp81339 & (vv45047 | (~vv45047 & ~qt411f7 && {ldc8a08, je896ad} < lsbf06))) | (wy212b6 && {ldc8a08, je896ad} < rt5f832));\r
+\r
+\r
+\r
+\r
+\r
+assign co1a8dd = (kq77228 | eacee45) & rv95b1 && ({ldc8a08, je896ad} == qv39dc8);\r
+assign qtc351b = rv95b1 && ({ldc8a08, je896ad} != qv39dc8);\r
+assign god46e9 = eacee45 & ph2823e;\r
+\r
+\r
+\r
+\r
+assign jeb0d4 = ((fp81339 & ~qt411f7) | (wy212b6) && {ldc8a08, je896ad} >= 16'h0600);\r
+\r
+\r
+\r
+assign dm586a3 = fp81339 & ~vv45047;\r
+\r
+assign lqcf014 = tj84256; \r
+assign qtd9e02 = {ldc8a08, je896ad}; \r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin jp50abc <= 1'b0; ep855e6 <= 1'b0; hq2af34 <= 1'b0; ec346cf <= 1'b0; eca3678 <= 1'b0; xy1b3c0 <= 1'b0; dm579a3 <= 14'b0; lde68d9 <= 14'b0; su780a3 <= 16'b0; rgf144b <= 1'b0; qi1fe10 <= uie0cbe; rgc0518 <= 1'b0; ir28c2 <= 1'b0; ksbcd1b <= 1'b0; gq3eb5e <= 0; end else if (rxmac_clk_en) begin\r
+ gq3eb5e <= je896ad; rgf144b <= byd5aaf; ksbcd1b <= (fp81339 & ~qt411f7) | wy212b6; if (fp19883) qi1fe10 <= uie0cbe; else begin qi1fe10 <= bl5084a; if (os4ce77) lde68d9 <= lde68d9 - 14'd1; end if (fp81339 && vv45047) lde68d9 <= 14'd46; else if ((fp81339 && !hq2af34) || wy212b6) begin dm579a3 <= {ldc8a08[5:0], je896ad}; lde68d9 <= {ldc8a08[5:0], je896ad}; end if (nr4ad8e) su780a3 <= {ldc8a08, je896ad}; if (uk3ef2a) jp50abc <= uk3ef2a; else if (zm9c559) jp50abc <= 1'b0; else jp50abc <= jp50abc; if (vv45047) ep855e6 <= vv45047; else if (zm9c559) ep855e6 <= 1'b0; else ep855e6 <= ep855e6; if (jc47de5) xy1b3c0 <= jc47de5; else if (zm9c559) xy1b3c0 <= 1'b0; else xy1b3c0 <= xy1b3c0; if (an8fbc) eca3678 <= an8fbc; else if (zm9c559) eca3678 <= 1'b0; else eca3678 <= eca3678; if (ksbca84) ec346cf <= ksbca84; else if (zm9c559) ec346cf <= 1'b0; else ec346cf <= ec346cf; if (ipf7950) rgc0518 <= ipf7950; else if (zm9c559) rgc0518 <= 1'b0; else rgc0518 <= rgc0518; if (ice5421) ir28c2 <= ice5421; else if (zm9c559) ir28c2 <= 1'b0; else ir28c2 <= ir28c2; if (qt411f7) hq2af34 <= qt411f7; else if (zm9c559) hq2af34 <= 1'b0; else hq2af34 <= hq2af34; end\r
+end\r
+\r
+always @ (swb63b1 or fp81339 or qt411f7 or vv45047 or ipf7950) begin case (swb63b1) uie0cbe : begin if (fp81339 && qt411f7) begin do1ba48 = xl65f0; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end else if (fp81339 && vv45047) begin do1ba48 = wwf0f8d; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end else begin do1ba48 = uie0cbe; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end end xl65f0 : begin do1ba48 = jr32f87; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end jr32f87 : begin do1ba48 = sw97c3e; rtdd243 = 1'b1; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end sw97c3e : begin do1ba48 = cbbe1f1; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end cbbe1f1 : begin do1ba48 = uie0cbe; rtdd243 = 1'b0; zxe921f = 1'b1; qg490ff = 1'b0; dm487f8 = 1'b0; end wwf0f8d : begin do1ba48 = wy87c69; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end wy87c69 : begin if (ipf7950) begin do1ba48 = uie0cbe; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b1; dm487f8 = 1'b0; end else begin do1ba48 = uk3e348; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b1; dm487f8 = 1'b0; end end uk3e348 : begin do1ba48 = lqf1a41; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end lqf1a41 : begin do1ba48 = uie0cbe; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b1; end default : begin do1ba48 = uie0cbe; rtdd243 = 1'b0; zxe921f = 1'b0; qg490ff = 1'b0; dm487f8 = 1'b0; end endcase\r
+end\r
+always@* begin je896ad<={bn1ce70>>1,mef0f02[0]};zm9c559<=mef0f02[1];fp81339<=mef0f02[2];byd5aaf<=mef0f02[3];os4ce77<=mef0f02[4];qv39dc8<={ba25a85>>1,mef0f02[5]};eacee45<=mef0f02[6];kq77228<=mef0f02[7];ldc8a08<={gq3eb5e>>1,mef0f02[8]};vv45047<=mef0f02[9];ph2823e<=mef0f02[10];qt411f7<=mef0f02[11];an8fbc<=mef0f02[12];jc47de5<=mef0f02[13];uk3ef2a<=mef0f02[14];ipf7950<=mef0f02[15];ksbca84<=mef0f02[16];ice5421<=mef0f02[17];bl5084a<={do1ba48>>1,mef0f02[18]};tj84256<=mef0f02[19];wy212b6<=mef0f02[20];rv95b1<=mef0f02[21];nr4ad8e<=mef0f02[22];swb63b1<={qi1fe10>>1,mef0f02[23]};fp19883<=mef0f02[24];end\r
+always@* begin ng1e1e0[2047]<=lfaa812;ng1e1e0[2046]<=jc4d12d;ng1e1e0[2044]<=ykce1a5;ng1e1e0[2040]<=gb44b50;ng1e1e0[2032]<=ba25a85[0];ng1e1e0[2017]<=ukf3ec;ng1e1e0[1987]<=zz22aa1;ng1e1e0[1926]<=gq3eb5e[0];ng1e1e0[1804]<=mg8d20b;ng1e1e0[1803]<=qi1fe10[0];ng1e1e0[1560]<=xw69058;ng1e1e0[1558]<=rgf144b;ng1e1e0[1550]<=co1a8dd;ng1e1e0[1072]<=by482c3;ng1e1e0[1052]<=god46e9;ng1e1e0[1023]<=bn1ce70[0];ng1e1e0[901]<=dm487f8;ng1e1e0[775]<=qtc351b;ng1e1e0[450]<=qg490ff;ng1e1e0[387]<=dm586a3;ng1e1e0[225]<=zxe921f;ng1e1e0[193]<=jeb0d4;ng1e1e0[112]<=rtdd243;ng1e1e0[96]<=of4161a;ng1e1e0[56]<=do1ba48[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module oub9ee6 (\r
+ pscf731, \r
+ bl7b98b, \r
+ mrdcc5e,\r
+ hbe62f4,\r
+ uk317a6,\r
+ fp8bd30,\r
+ uv5e986,\r
+ vif4c35,\r
+ oua61ab,\r
+ rxmac_clk_en,\r
+ vk86ac3\r
+ );\r
+output [5:0] pscf731;\r
+output bl7b98b;\r
+input [7:0] mrdcc5e;\r
+input [31:0] vif4c35;\r
+input hbe62f4;\r
+input uk317a6;\r
+input fp8bd30;\r
+input uv5e986;\r
+input oua61ab;\r
+input rxmac_clk_en;\r
+input vk86ac3;\r
+reg [31:0] zm3f362;\r
+reg [5:0] pscf731;\r
+reg bl7b98b;\r
+wire [31:0] ps6c4ff;\r
+wire [31:0] os627fb;\r
+reg [7 : 0] bn13fd8;\r
+reg wl9fec7;\r
+reg kqff63d;\r
+reg jcfb1e9;\r
+reg dzd8f4b;\r
+reg [31 : 0] nrc7a5e;\r
+reg [31 : 0] db3d2f6;\r
+reg [31 : 0] dze97b6;\r
+reg [31 : 0] yx4bdb7;\r
+reg [2047:0] ng1e1e0;\r
+wire [8:0] mef0f02;\r
+\r
+localparam uk87811 = 9,gd3c088 = 32'hfdffe06b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+assign os627fb[0] = ~db3d2f6[0];\r
+assign os627fb[1] = ~db3d2f6[1];\r
+assign os627fb[2] = db3d2f6[2];\r
+assign os627fb[3] = ~db3d2f6[3];\r
+assign os627fb[4] = ~db3d2f6[4];\r
+assign os627fb[5] = ~db3d2f6[5];\r
+assign os627fb[6] = ~db3d2f6[6];\r
+assign os627fb[7] = db3d2f6[7];\r
+assign os627fb[8] = ~db3d2f6[8];\r
+assign os627fb[9] = db3d2f6[9];\r
+assign os627fb[10] = ~db3d2f6[10];\r
+assign os627fb[11] = ~db3d2f6[11];\r
+assign os627fb[12] = ~db3d2f6[12];\r
+assign os627fb[13] = db3d2f6[13];\r
+assign os627fb[14] = ~db3d2f6[14];\r
+assign os627fb[15] = ~db3d2f6[15];\r
+assign os627fb[16] = db3d2f6[16];\r
+assign os627fb[17] = db3d2f6[17];\r
+assign os627fb[18] = ~db3d2f6[18];\r
+assign os627fb[19] = db3d2f6[19];\r
+assign os627fb[20] = db3d2f6[20];\r
+assign os627fb[21] = db3d2f6[21];\r
+assign os627fb[22] = db3d2f6[22];\r
+assign os627fb[23] = db3d2f6[23];\r
+assign os627fb[24] = ~db3d2f6[24];\r
+assign os627fb[25] = ~db3d2f6[25];\r
+assign os627fb[26] = ~db3d2f6[26];\r
+assign os627fb[27] = db3d2f6[27];\r
+assign os627fb[28] = db3d2f6[28];\r
+assign os627fb[29] = db3d2f6[29];\r
+assign os627fb[30] = ~db3d2f6[30];\r
+assign os627fb[31] = ~db3d2f6[31];\r
+\r
+always @ (posedge oua61ab or negedge vk86ac3)\r
+begin if (!vk86ac3) begin zm3f362 <= 32'hffffffff; pscf731 <= 6'b000000; bl7b98b <= 0; end else if (rxmac_clk_en) begin if (wl9fec7) begin zm3f362 <= dze97b6; end else if (dzd8f4b) begin zm3f362 <= nrc7a5e; end if (kqff63d) begin pscf731[5] <= dze97b6[30]; pscf731[4] <= dze97b6[29]; pscf731[3] <= dze97b6[28]; pscf731[2] <= dze97b6[27]; pscf731[1] <= dze97b6[26]; pscf731[0] <= dze97b6[25]; end if (jcfb1e9) begin if (yx4bdb7 == 0) begin bl7b98b <= 0; end else begin bl7b98b <= 1; end end else if (dzd8f4b) begin bl7b98b <= 0; end end\r
+end\r
+\r
+assign ps6c4ff[0] = db3d2f6[30] ^ bn13fd8[7] ^ bn13fd8[1] ^ db3d2f6[24];\r
+assign ps6c4ff[1] = db3d2f6[30] ^ bn13fd8[6] ^ bn13fd8[7] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25];\r
+assign ps6c4ff[2] = db3d2f6[30] ^ bn13fd8[6] ^ bn13fd8[7] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25] ^ db3d2f6[26] ^ bn13fd8[5];\r
+assign ps6c4ff[3] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[25] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ bn13fd8[6];\r
+assign ps6c4ff[4] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[7];\r
+assign ps6c4ff[5] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[2] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[6] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[7] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[24] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[8] = db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ bn13fd8[6] ^ bn13fd8[7] ^ db3d2f6[0];\r
+assign ps6c4ff[9] = db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29] ^ db3d2f6[1];\r
+assign ps6c4ff[10] = db3d2f6[24] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[29] ^ bn13fd8[7] ^ db3d2f6[2];\r
+assign ps6c4ff[11] = db3d2f6[3] ^ db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ bn13fd8[6] ^ bn13fd8[7];\r
+assign ps6c4ff[12] = db3d2f6[30] ^ db3d2f6[4] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[13] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[5] ^ bn13fd8[1] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[14] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[6] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[28];\r
+assign ps6c4ff[15] = db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[2] ^ db3d2f6[7] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ db3d2f6[29];\r
+assign ps6c4ff[16] = db3d2f6[24] ^ bn13fd8[2] ^ bn13fd8[3] ^ db3d2f6[8] ^ db3d2f6[28] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[17] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[9] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[18] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[26] ^ bn13fd8[5] ^ db3d2f6[10];\r
+assign ps6c4ff[19] = db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[11];\r
+assign ps6c4ff[20] = db3d2f6[12] ^ bn13fd8[3] ^ db3d2f6[28];\r
+assign ps6c4ff[21] = db3d2f6[13] ^ bn13fd8[2] ^ db3d2f6[29];\r
+assign ps6c4ff[22] = db3d2f6[14] ^ db3d2f6[24] ^ bn13fd8[7];\r
+assign ps6c4ff[23] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[15] ^ db3d2f6[25] ^ bn13fd8[6] ^ bn13fd8[7];\r
+assign ps6c4ff[24] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[25] ^ db3d2f6[16] ^ db3d2f6[26] ^ bn13fd8[5] ^ bn13fd8[6];\r
+assign ps6c4ff[25] = db3d2f6[26] ^ db3d2f6[17] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5];\r
+assign ps6c4ff[26] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[24] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[18] ^ db3d2f6[28] ^ bn13fd8[7];\r
+assign ps6c4ff[27] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[25] ^ bn13fd8[2] ^ bn13fd8[3] ^ db3d2f6[28] ^ db3d2f6[19] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[28] = db3d2f6[30] ^ bn13fd8[1] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[5] ^ db3d2f6[29] ^ db3d2f6[20];\r
+assign ps6c4ff[29] = db3d2f6[30] ^ db3d2f6[21] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ bn13fd8[4] ^ db3d2f6[27];\r
+assign ps6c4ff[30] = db3d2f6[31] ^ db3d2f6[22] ^ bn13fd8[0] ^ bn13fd8[3] ^ db3d2f6[28];\r
+assign ps6c4ff[31] = db3d2f6[23] ^ bn13fd8[2] ^ db3d2f6[29];\r
+always@* begin bn13fd8<={mrdcc5e>>1,mef0f02[0]};wl9fec7<=mef0f02[1];kqff63d<=mef0f02[2];jcfb1e9<=mef0f02[3];dzd8f4b<=mef0f02[4];nrc7a5e<={vif4c35>>1,mef0f02[5]};db3d2f6<={zm3f362>>1,mef0f02[6]};dze97b6<={ps6c4ff>>1,mef0f02[7]};yx4bdb7<={os627fb>>1,mef0f02[8]};end\r
+always@* begin ng1e1e0[2047]<=hbe62f4;ng1e1e0[2046]<=uk317a6;ng1e1e0[2044]<=fp8bd30;ng1e1e0[2041]<=uv5e986;ng1e1e0[2034]<=vif4c35[0];ng1e1e0[2021]<=zm3f362[0];ng1e1e0[1995]<=ps6c4ff[0];ng1e1e0[1943]<=os627fb[0];ng1e1e0[1023]<=mrdcc5e[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module gd33aed (\r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ ks10d54, \r
+ fa6c2d4, \r
+ pf616a3, \r
+ lsb51f, \r
+ vv5a8fb,\r
+\r
+ \r
+ bn1ce70,\r
+ cb25ef,\r
+ wl12f7d,\r
+ rva04bd,\r
+\r
+ \r
+ mg1550f,\r
+ hdaa879,\r
+ en543cf,\r
+ uxa1e7d,\r
+\r
+ \r
+ jp50abc,\r
+ ep855e6,\r
+ hq2af34,\r
+ dm579a3,\r
+ ec346cf,\r
+ eca3678,\r
+ xy1b3c0,\r
+ rgc0518,\r
+ ksbcd1b,\r
+ lde68d9,\r
+ yz172cd,\r
+\r
+ \r
+ ignore_pkt,\r
+\r
+ \r
+ qgcb360,\r
+ go59b01,\r
+ ldcd808,\r
+ tu6c047,\r
+ wj6023b,\r
+\r
+ \r
+ bl7b98b,\r
+\r
+ \r
+ lfaa812,\r
+ ykce1a5,\r
+\r
+ \r
+ gq3baab,\r
+ bldd558,\r
+ ykeaac7,\r
+\r
+ \r
+ ls35502,\r
+ yzab1cf,\r
+\r
+ \r
+ fa58e7c,\r
+\r
+ \r
+ jc4d12d,\r
+ gb44b50,\r
+\r
+ \r
+ epae410,\r
+ tu42b90,\r
+ wy15c82,\r
+\r
+ \r
+ kf17a95,\r
+ ks24554,\r
+ fp8bd30,\r
+ jc52b50\r
+);\r
+parameter gq97bed = 8;\r
+parameter mga30a3 = 14;\r
+parameter ofd97a2 = 20;\r
+parameter ykcbd15 = 32;\r
+parameter do838e7 = 32;\r
+parameter bn1c73a = 9;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input ks10d54;\r
+input fa6c2d4;\r
+input pf616a3;\r
+input lsb51f;\r
+input vv5a8fb;\r
+input wl12f7d;\r
+input rva04bd;\r
+input cb25ef;\r
+input [gq97bed-1:0] bn1ce70;\r
+input mg1550f;\r
+input hdaa879;\r
+input en543cf;\r
+input uxa1e7d;\r
+input jp50abc;\r
+input ep855e6;\r
+input hq2af34;\r
+input ec346cf;\r
+input yz172cd;\r
+input eca3678;\r
+input xy1b3c0;\r
+input rgc0518;\r
+input ksbcd1b;\r
+input [mga30a3-1:0] lde68d9;\r
+input [mga30a3-1:0] dm579a3;\r
+input ignore_pkt;\r
+input qgcb360;\r
+input go59b01;\r
+input [mga30a3-1:0] ldcd808;\r
+input tu6c047;\r
+input wj6023b;\r
+input bl7b98b;\r
+output lfaa812;\r
+output ls35502;\r
+output yzab1cf;\r
+output jc4d12d;\r
+output tu42b90;\r
+output wy15c82;\r
+output ykce1a5;\r
+output gb44b50;\r
+output [ofd97a2-1:0] epae410;\r
+output gq3baab;\r
+output [bn1c73a-1:0] bldd558;\r
+output ykeaac7;\r
+output fa58e7c;\r
+output [do838e7-1:0] kf17a95;\r
+output ks24554;\r
+output fp8bd30;\r
+output jc52b50;\r
+wire lfaa812;\r
+wire jc4d12d;\r
+wire tu42b90;\r
+wire wy15c82;\r
+wire [ofd97a2-1:0] epae410;\r
+reg gq3baab;\r
+wire [do838e7-1:0] kf17a95;\r
+wire ks24554;\r
+wire jc52b50;\r
+reg fa58e7c;\r
+reg [bn1c73a-1:0] bldd558;\r
+reg ykeaac7;\r
+localparam dzc2fc9 = 8;\r
+localparam an17e4e = 8'b00000001;\r
+localparam phbf275 = 8'b00000010;\r
+localparam zxf93af = 8'b00000100;\r
+localparam wjc9d7e = 8'b00001000;\r
+localparam en4ebf5 = 8'b00010000;\r
+localparam mr75fac = 8'b00100000;\r
+localparam xlafd65 = 8'b01000000;\r
+localparam dz7eb2f = 8'b10000000;\r
+localparam ayf597e = 1'b0;\r
+localparam coacbf1 = 1'b1;\r
+reg [dzc2fc9-1:0] je2fc44;\r
+reg ls35502;\r
+reg tuf111f;\r
+reg uk888fa;\r
+reg of447d1;\r
+reg kf23e8c;\r
+reg ykce1a5;\r
+wire gofa328;\r
+wire gb44b50;\r
+wire zm8ca08;\r
+wire cz65043;\r
+wire nt2821d;\r
+wire al410ef;\r
+wire [mga30a3-1:0] ui43be4;\r
+wire gd1df20;\r
+wire icef904;\r
+reg [dzc2fc9-1:0] rge410b;\r
+reg ba2085b;\r
+reg zm42da;\r
+reg [2:0] sw216d2;\r
+reg jeb691;\r
+reg en5b48b;\r
+reg [mga30a3-1:0] ldd22e2;\r
+reg [mga30a3-1:0] bn8b8b7;\r
+reg me5c5be;\r
+reg kde2df1;\r
+reg zz16f8d;\r
+reg fcb7c6f;\r
+reg [mga30a3-1:0] psf1bd5;\r
+reg sw8deab;\r
+reg yx6f559;\r
+reg gb7aacc;\r
+reg zkd5664;\r
+reg gdab323;\r
+reg [mga30a3-1:0] mrcc8e0;\r
+reg [mga30a3-1:0] ux2382f;\r
+wire bn1c17d;\r
+wire yke0bef;\r
+wire aa5f78;\r
+wire fc2fbc1;\r
+wire me7de0b;\r
+wire ykef059;\r
+wire ea782c8;\r
+reg [ofd97a2-1:0] ngb200;\r
+reg ic59003;\r
+wire fnc8019;\r
+wire vv400ce;\r
+wire [ykcbd15-1:0] vx33b0;\r
+wire yzab1cf;\r
+reg iccec3e;\r
+reg rg761f0;\r
+reg lfb0f83;\r
+reg xw69ede;\r
+reg sw3e0f8;\r
+reg uif07c1;\r
+reg [gq97bed-1:0] ym1f042;\r
+reg yxf8210 ;\r
+reg mec1084;\r
+reg ux8420;\r
+reg jc42104;\r
+reg [4:0] ym10823;\r
+reg vx84118;\r
+reg lqfe289;\r
+wire ri4632;\r
+reg ir23192;\r
+wire fp8bd30;\r
+reg uvc64a4;\r
+wire lf32525;\r
+wire mg9292f;\r
+wire hd94979;\r
+wire oha4bce;\r
+wire zm25e74;\r
+reg je2f3a2;\r
+reg ps79d12;\r
+reg wwce893;\r
+reg bl74498;\r
+reg hqa24c6;\r
+reg wl12632;\r
+reg do93194;\r
+reg gq98ca2;\r
+wire [bn1c73a-1:0] jr32885;\r
+reg [3:0] vx9442e;\r
+reg zma2177;\r
+reg ie10bba;\r
+reg wl85dd0;\r
+reg zm1b29c;\r
+reg ic77429;\r
+reg rvba149;\r
+reg ned0a4f;\r
+reg kf8527a;\r
+reg [gq97bed - 1 : 0] je896ad;\r
+reg al4b56a;\r
+reg qt7a94f;\r
+reg ayd4a7c;\r
+reg lfa53e0;\r
+reg gd29f07;\r
+reg th4f83c;\r
+reg by7c1e6;\r
+reg kqe0f32;\r
+reg ux7990;\r
+reg gd3cc84;\r
+reg [mga30a3 - 1 : 0] hd3212e;\r
+reg ir90977;\r
+reg xl84bbc;\r
+reg hq25de7;\r
+reg uk2ef3a;\r
+reg cm779d1;\r
+reg [mga30a3 - 1 : 0] qte7441;\r
+reg pu3a20c;\r
+reg wwd1063;\r
+reg gq88319;\r
+reg cz418c8;\r
+reg [mga30a3 - 1 : 0] ho6322e;\r
+reg xl19172;\r
+reg vvc8b97;\r
+reg xj45cbf;\r
+reg [dzc2fc9 - 1 : 0] qg72ff8;\r
+reg wy97fc0;\r
+reg jrbfe01;\r
+reg wwff00e;\r
+reg czf8071;\r
+reg tuc038c;\r
+reg xy1c62;\r
+reg hqe313;\r
+reg pf7189b;\r
+reg tw8c4df;\r
+reg [mga30a3 - 1 : 0] tj137d0;\r
+reg hd9be86;\r
+reg vidf437;\r
+reg [dzc2fc9 - 1 : 0] rgd0dd1;\r
+reg pu86e8a;\r
+reg tj37452;\r
+reg [2 : 0] bnba297;\r
+reg osd14bf;\r
+reg wl8a5f9;\r
+reg [mga30a3 - 1 : 0] ks97e6b;\r
+reg [mga30a3 - 1 : 0] pff9acf;\r
+reg zxcd67f;\r
+reg zx6b3fe;\r
+reg me59ff6;\r
+reg facffb0;\r
+reg [mga30a3 - 1 : 0] hofec14;\r
+reg jpf60a2;\r
+reg zzb0517;\r
+reg qi828be;\r
+reg zm145f0;\r
+reg fca2f83;\r
+reg [mga30a3 - 1 : 0] qvbe0dd;\r
+reg [mga30a3 - 1 : 0] fc83768;\r
+reg tw1bb43;\r
+reg pfdda1b;\r
+reg ened0de;\r
+reg ea686f5;\r
+reg ea437a9;\r
+reg ls1bd49;\r
+reg aydea4b;\r
+reg [ofd97a2 - 1 : 0] wya92c8;\r
+reg su49641;\r
+reg uv4b208;\r
+reg me59045;\r
+reg [ykcbd15 - 1 : 0] qt4114e;\r
+reg an8a74;\r
+reg ld453a5;\r
+reg mt29d2e;\r
+reg czf29dc;\r
+reg pf74ba4;\r
+reg vxa5d27;\r
+reg [gq97bed - 1 : 0] rt749f1;\r
+reg kfa4f8f;\r
+reg vx27c7c;\r
+reg gq3e3e7;\r
+reg dmf1f39;\r
+reg [4 : 0] cb8f9cb;\r
+reg vi7ce5c;\r
+reg do83310;\r
+reg wl3971c;\r
+reg wwcb8e4;\r
+reg yk5c720;\r
+reg dze3901;\r
+reg vx1c80f;\r
+reg zke407e;\r
+reg ng203f3;\r
+reg vk1f9b;\r
+reg qifcdb;\r
+reg fn7e6d8;\r
+reg xjf36c7;\r
+reg hd9b63f;\r
+reg qgdb1fe;\r
+reg nrd8ff0;\r
+reg pfc7f86;\r
+reg ri3fc36;\r
+reg [bn1c73a - 1 : 0] ayf0d93;\r
+reg [3 : 0] do86c99;\r
+reg an364ca;\r
+reg dob2655;\r
+reg ba932ab;\r
+reg [2047:0] ng1e1e0;\r
+wire [110:0] mef0f02;\r
+\r
+localparam uk87811 = 111,gd3c088 = 32'hfdffca8b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+\r
+\r
+ \r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+ \r
+ \r
+ \r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin vx9442e <= 4'h0; zma2177 <= ayf597e; ie10bba <= 1'b0; wl85dd0 <= 1'b0; end else if (rxmac_clk_en) begin\r
+ wl85dd0 <= dob2655;\r
+ case (an364ca) ayf597e : begin ie10bba <= 1'b0; if (al4b56a && vi7ce5c) begin vx9442e <= do86c99 + 1; zma2177 <= coacbf1; end else begin vx9442e <= 4'h0; zma2177 <= ayf597e; end end coacbf1 : begin if (vi7ce5c) begin if (do86c99 <= 4'd12 ) begin vx9442e <= do86c99 + 1; zma2177 <= coacbf1; end else begin zma2177 <= ayf597e; end end else begin if (~cb8f9cb[3] & cb8f9cb[4]) begin zma2177 <= ayf597e; end if (do86c99 <= 4'd12) begin ie10bba <= 1'b1; end else begin ie10bba <= 1'b0; end end end default : begin vx9442e <= 4'h0; ie10bba <= 1'b0; zma2177 <= ayf597e; end\r
+ endcase end \r
+\r
+end \r
+\r
+\r
+\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin yx6f559 <= 1'b0; gb7aacc <= 1'b0; rge410b <= an17e4e; sw216d2 <= 3'b000; jeb691 <= 1'b0; vx84118 <= 1'b0; yxf8210 <= 1'b0; mec1084 <= 1'b0; kde2df1 <= 1'b0; zz16f8d <= 1'b0; fcb7c6f <= 1'b0; sw8deab <= 1'b0; do93194 <= 1'b0; gq98ca2 <= 1'b0; en5b48b <= 1'b0; end else if (rxmac_clk_en) begin do93194 <= xl19172; gq98ca2 <= pfc7f86; if (jpf60a2) fcb7c6f <= jpf60a2; else if (lfaa812) fcb7c6f <= 1'b0; else if (gd29f07) fcb7c6f <= 1'b0; else fcb7c6f <= facffb0; sw8deab <= lfa53e0; kde2df1 <= wwd1063; zz16f8d <= zx6b3fe; yx6f559 <= gd29f07; gb7aacc <= zzb0517; vx84118 <= zm1b29c; yxf8210 <= vi7ce5c; mec1084 <= kfa4f8f; jeb691 <= lfa53e0 | (osd14bf & ~tuc038c); en5b48b <= wy97fc0; if(xy1c62) sw216d2 <= bnba297 + 2'd1; else if (lfaa812) sw216d2 <= 3'b000; rge410b <= qg72ff8; end\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign jc4d12d = wl8a5f9;\r
+\r
+assign zm8ca08 = osd14bf | lfa53e0;\r
+assign gofa328 = bnba297[2] & ~bnba297[1] & bnba297[0];\r
+\r
+always @ (rgd0dd1 or ri3fc36 or zm1b29c or vi7ce5c or al4b56a or qt7a94f or lfa53e0 or gd29f07 or tuc038c or kqe0f32 or ux7990 or me59ff6) begin case (rgd0dd1) an17e4e : begin if (!(ri3fc36 && zm1b29c)) begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b1; end else begin if(!me59ff6) kf23e8c = 1'b0; else kf23e8c = 1'b1; je2fc44 = phbf275; ls35502 = 1'b1; tuf111f = 1'b0; of447d1 = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end phbf275 : begin if (qt7a94f) begin je2fc44 = wjc9d7e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b1; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else if (al4b56a) begin je2fc44 = zxf93af; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else if (!zm1b29c) begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else begin je2fc44 = phbf275; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end zxf93af : begin if (lfa53e0) begin je2fc44 = en4ebf5; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else if (gd29f07) begin je2fc44 = wjc9d7e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else if (!vi7ce5c) begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b1; uk888fa = 1'b0; end else begin je2fc44 = zxf93af; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end wjc9d7e : begin if (!zm1b29c) begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else begin je2fc44 = wjc9d7e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end en4ebf5 : begin if (tuc038c) begin je2fc44 = mr75fac; ls35502 = 1'b0; tuf111f = 1'b1; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else if (!vi7ce5c) begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b1; uk888fa = 1'b0; end else begin je2fc44 = en4ebf5; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end mr75fac : begin if(kqe0f32 || ux7990) begin je2fc44 = xlafd65; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else if (!zm1b29c) begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b1; uk888fa = 1'b0; end else begin je2fc44 = mr75fac; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end xlafd65 : begin if (!vi7ce5c) begin je2fc44 = dz7eb2f; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end else begin je2fc44 = xlafd65; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end end dz7eb2f : begin je2fc44 = an17e4e; ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end default : begin je2fc44 = an17e4e;\r
+ ls35502 = 1'b0; tuf111f = 1'b0; of447d1 = 1'b0; kf23e8c = 1'b0; ykce1a5 = 1'b0; uk888fa = 1'b0; end endcase\r
+end\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign zk5d9f7 = zm1b29c;\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin iccec3e <= 0; rg761f0 <= 0; ba2085b <= 1'b0; zm42da <= 1'b0; ym1f042 <= 8'h00; lfb0f83 <= 1'b0; xw69ede <= 1'b0; sw3e0f8 <= 1'b0; uif07c1 <= 1'b0; jc42104 <= 1'b0; gq3baab <= 1'b0; ym10823[0] <= 1'b0; ym10823[1] <= 1'b0; ym10823[2] <= 1'b0; ym10823[3] <= 1'b0; ym10823[4] <= 1'b0; end else if (rxmac_clk_en) begin ym10823[0] <= kfa4f8f; ym10823[1] <= cb8f9cb[0]; ym10823[2] <= cb8f9cb[1]; ym10823[3] <= cb8f9cb[2]; ym10823[4] <= cb8f9cb[3]; if (uv4b208) jc42104 <= uv4b208; else if (lfaa812) jc42104 <= 1'b0; else jc42104 <= dmf1f39; sw3e0f8 <= ea437a9; uif07c1 <= pf74ba4; gq3baab <= jrbfe01 & ~pf74ba4; lfb0f83 <= lfaa812; xw69ede <= wy15c82; ym1f042 <= je896ad; iccec3e <= zk5d9f7; rg761f0 <= su49641; if (xl84bbc) ba2085b <= cm779d1 | (pu86e8a & su49641); else ba2085b <= cm779d1 | (pu86e8a & an8a74); zm42da <= al4b56a | (tj37452 & tw1bb43 & ~(gd29f07 & ~zzb0517)); end\r
+end\r
+\r
+\r
+\r
+assign gb44b50 = pu86e8a | cm779d1;\r
+assign yke0bef = (qte7441 == 14'd1);\r
+\r
+always @ (zk5d9f7 or gb44b50 or pfdda1b) begin casex ({gb44b50, pfdda1b}) 2'b0x : ic59003 = zk5d9f7; 2'b11 : ic59003 = 0; default : ic59003 = zk5d9f7; endcase\r
+end\r
+\r
+assign bn1c17d = (xl84bbc) ? ld453a5 : ic77429;\r
+assign aa5f78 = ~qifcdb & tj37452;\r
+assign me7de0b = tj37452;\r
+assign fc2fbc1 = (lfaa812 & ~wy15c82) | (mt29d2e & ~czf29dc);\r
+assign tu42b90 = ened0de | ea686f5;\r
+assign ea782c8 = lfaa812 | mt29d2e;\r
+always @ (lfaa812 or mt29d2e or qt4114e or qgdb1fe) begin case({lfaa812, mt29d2e}) 2'b10 : ngb200 = {2'b11,1'b0,1'b0,qt4114e[31:16]}; 2'b01 : ngb200 = {2'b10,1'b1,qgdb1fe,qt4114e[15:0]}; default : ngb200 = 20'bxxxxxxxxxxxxxxxxxxxx; endcase\r
+end\r
+assign epae410[19:0] = aydea4b ? wya92c8[19:0] : {12'h000, rt749f1};\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign fnc8019 = (~kfa4f8f & vx27c7c) & pf74ba4;\r
+assign vv400ce = uv4b208 | dmf1f39;\r
+assign lfaa812 = me59045 ? vxa5d27 & ~pf74ba4 : facffb0 & ~qt7a94f & ~zzb0517 & ~cb8f9cb[3] & cb8f9cb[4];\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign ykef059 = (pff9acf >= 14'd64);\r
+\r
+\r
+\r
+\r
+assign wy15c82 = ((~gq88319) ? (lfaa812 & ~gq3e3e7) : 1'b0) | (zzb0517 & ~qi828be) | (dob2655 & ~ba932ab) | (vvc8b97 & ux7990 & lfaa812) | (pu3a20c & lfaa812);\r
+\r
+assign cz65043 = al4b56a | (zxcd67f & an8a74);\r
+\r
+\r
+assign nt2821d = gb44b50;\r
+assign al410ef = gb44b50 & ea437a9;\r
+\r
+\r
+assign ui43be4 = (~xl84bbc) ? hofec14 -14'd4 : fc83768;\r
+\r
+assign kf17a95 = 32'hffffffff;\r
+\r
+assign jc52b50 = ls35502;\r
+assign fp8bd30 = ~vi7ce5c & kfa4f8f;\r
+\r
+assign ks24554 = al4b56a | yk5c720;\r
+\r
+\r
+\r
+assign ri4632 = cz418c8 & ir90977 & wwcb8e4 & gq3e3e7 & ~(hd9b63f | xj45cbf);\r
+\r
+always @ (posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin gdab323 <= 1'b0; zkd5664 <= 1'b0; mrcc8e0 <= 14'b0; ldd22e2 <= 14'b0; bn8b8b7 <= 14'b0; me5c5be <= 1'b0; ux8420 <= 1'b0; uvc64a4 <= 1'b0; ps79d12 <= 1'b0; wwce893 <= 1'b0; bl74498 <= 1'b0; hqa24c6 <= 1'b0; ir23192 <= 1'b0; fa58e7c <= 1'b0; je2f3a2 <= 1'b0; psf1bd5 <= 14'd0; ux2382f <= 14'd0; bldd558 <= 9'd0; ykeaac7 <= 1'b0; wl12632 <= 1'b0; lqfe289 <= 1'b0; end else if (rxmac_clk_en) begin ykeaac7 <= mt29d2e & ~czf29dc; if (mt29d2e && !czf29dc) bldd558 <= ayf0d93; ir23192 <= fp8bd30; fa58e7c <= wl3971c; if (czf8071) je2f3a2 <= czf8071; else if (ls35502 && !czf8071) je2f3a2 <= 1'b0; else if (zzb0517) je2f3a2 <= 1'b0; else je2f3a2 <= qifcdb; hqa24c6 <= ~vk1f9b; if (rvba149 & zm1b29c) bl74498 <= rvba149; else if (mt29d2e) bl74498 <= 1'b0; else bl74498 <= hd9b63f; if (zke407e) wwce893 <= zke407e; else if (mt29d2e) wwce893 <= 1'b0; else wwce893 <= xjf36c7; lqfe289 <= al4b56a; if (do83310) ps79d12 <= kf8527a; if (yzab1cf) wl12632 <= ayd4a7c; uvc64a4 <= al4b56a | (yk5c720 & zm1b29c); mrcc8e0 <= tj137d0; zkd5664 <= dze3901; gdab323 <= vidf437; if (zxcd67f && !hqe313) ux8420 <= ls1bd49; me5c5be <= hqe313; if (zxcd67f && !hqe313) ldd22e2 <= pff9acf; if (hqe313) begin bn8b8b7 <= pff9acf + 14'd1; end else bn8b8b7 <= 14'd0; if (tw8c4df && xl84bbc && su49641) ux2382f <= fc83768 + 14'd1; if (pf7189b && !xl84bbc && an8a74) psf1bd5 <= hofec14 + 14'd1; else if (jpf60a2) psf1bd5 <= 14'd0; end\r
+end\r
+\r
+\r
+\r
+assign yzab1cf = jpf60a2;\r
+\r
+\r
+assign lf32525 = gd3cc84 ? (ks97e6b > (ho6322e + 14'd4)) : ks97e6b > ho6322e;\r
+assign mg9292f = (ks97e6b < 14'd64);\r
+assign hd94979 = wwff00e;\r
+\r
+assign gd1df20 = (ks97e6b[6:0] != 7'd64);\r
+assign icef904 = (hd3212e != qvbe0dd);\r
+assign oha4bce = vx1c80f ? 1'b0 : ux7990 | xl84bbc ? hd9be86 : hq25de7 ? 1'b0 : fca2f83;\r
+\r
+assign zm25e74 = ~(hd9b63f | xj45cbf | ng203f3);\r
+assign vx33b0[31] = zm145f0; \r
+assign vx33b0[30] = vx1c80f; \r
+assign vx33b0[29] = fn7e6d8; \r
+assign vx33b0[28] = nrd8ff0;\r
+assign vx33b0[27] = xjf36c7; \r
+assign vx33b0[26] = qifcdb; \r
+assign vx33b0[25] = xj45cbf; \r
+assign vx33b0[24] = ng203f3; \r
+assign vx33b0[23] = vk1f9b; \r
+assign vx33b0[22] = th4f83c; \r
+assign vx33b0[21] = by7c1e6; \r
+assign vx33b0[20] = ned0a4f; \r
+assign vx33b0[19] = uk2ef3a; \r
+assign vx33b0[18] = ux7990; \r
+assign vx33b0[17] = ir90977; \r
+assign vx33b0[16] = gd3cc84; \r
+assign vx33b0[15:14] = 2'b00;\r
+assign vx33b0[13:0] = ks97e6b; \r
+\r
+assign jr32885[0] = ir90977;\r
+assign jr32885[1] = xj45cbf;\r
+assign jr32885[2] = hd9b63f;\r
+assign jr32885[3] = zm145f0;\r
+assign jr32885[4] = vx1c80f;\r
+assign jr32885[5] = fn7e6d8;\r
+assign jr32885[6] = th4f83c;\r
+assign jr32885[7] = by7c1e6;\r
+assign jr32885[8] = gd3cc84;\r
+\r
+always@* begin zm1b29c<=mef0f02[0];ic77429<=mef0f02[1];rvba149<=mef0f02[2];ned0a4f<=mef0f02[3];kf8527a<=mef0f02[4];je896ad<={bn1ce70>>1,mef0f02[5]};al4b56a<=mef0f02[6];qt7a94f<=mef0f02[7];ayd4a7c<=mef0f02[8];lfa53e0<=mef0f02[9];gd29f07<=mef0f02[10];th4f83c<=mef0f02[11];by7c1e6<=mef0f02[12];kqe0f32<=mef0f02[13];ux7990<=mef0f02[14];gd3cc84<=mef0f02[15];hd3212e<={dm579a3>>1,mef0f02[16]};ir90977<=mef0f02[17];xl84bbc<=mef0f02[18];hq25de7<=mef0f02[19];uk2ef3a<=mef0f02[20];cm779d1<=mef0f02[21];qte7441<={lde68d9>>1,mef0f02[22]};pu3a20c<=mef0f02[23];wwd1063<=mef0f02[24];gq88319<=mef0f02[25];cz418c8<=mef0f02[26];ho6322e<={ldcd808>>1,mef0f02[27]};xl19172<=mef0f02[28];vvc8b97<=mef0f02[29];xj45cbf<=mef0f02[30];qg72ff8<={je2fc44>>1,mef0f02[31]};wy97fc0<=mef0f02[32];jrbfe01<=mef0f02[33];wwff00e<=mef0f02[34];czf8071<=mef0f02[35];tuc038c<=mef0f02[36];xy1c62<=mef0f02[37];hqe313<=mef0f02[38];pf7189b<=mef0f02[39];tw8c4df<=mef0f02[40];tj137d0<={ui43be4>>1,mef0f02[41]};hd9be86<=mef0f02[42];vidf437<=mef0f02[43];rgd0dd1<={rge410b>>1,mef0f02[44]};pu86e8a<=mef0f02[45];tj37452<=mef0f02[46];bnba297<={sw216d2>>1,mef0f02[47]};osd14bf<=mef0f02[48];wl8a5f9<=mef0f02[49];ks97e6b<={ldd22e2>>1,mef0f02[50]};pff9acf<={bn8b8b7>>1,mef0f02[51]};zxcd67f<=mef0f02[52];zx6b3fe<=mef0f02[53];me59ff6<=mef0f02[54];facffb0<=mef0f02[55];hofec14<={psf1bd5>>1,mef0f02[56]};jpf60a2<=mef0f02[57];zzb0517<=mef0f02[58];qi828be<=mef0f02[59];zm145f0<=mef0f02[60];fca2f83<=mef0f02[61];qvbe0dd<={mrcc8e0>>1,mef0f02[62]};fc83768<={ux2382f>>1,mef0f02[63]};tw1bb43<=mef0f02[64];pfdda1b<=mef0f02[65];ened0de<=mef0f02[66];ea686f5<=mef0f02[67];ea437a9<=mef0f02[68];ls1bd49<=mef0f02[69];aydea4b<=mef0f02[70];wya92c8<={ngb200>>1,mef0f02[71]};su49641<=mef0f02[72];uv4b208<=mef0f02[73];me59045<=mef0f02[74];qt4114e<={vx33b0>>1,mef0f02[75]};an8a74<=mef0f02[76];ld453a5<=mef0f02[77];mt29d2e<=mef0f02[78];czf29dc<=mef0f02[79];pf74ba4<=mef0f02[80];vxa5d27<=mef0f02[81];rt749f1<={ym1f042>>1,mef0f02[82]};kfa4f8f<=mef0f02[83];vx27c7c<=mef0f02[84];gq3e3e7<=mef0f02[85];dmf1f39<=mef0f02[86];cb8f9cb<={ym10823>>1,mef0f02[87]};vi7ce5c<=mef0f02[88];do83310<=mef0f02[89];wl3971c<=mef0f02[90];wwcb8e4<=mef0f02[91];yk5c720<=mef0f02[92];dze3901<=mef0f02[93];vx1c80f<=mef0f02[94];zke407e<=mef0f02[95];ng203f3<=mef0f02[96];vk1f9b<=mef0f02[97];qifcdb<=mef0f02[98];fn7e6d8<=mef0f02[99];xjf36c7<=mef0f02[100];hd9b63f<=mef0f02[101];qgdb1fe<=mef0f02[102];nrd8ff0<=mef0f02[103];pfc7f86<=mef0f02[104];ri3fc36<=mef0f02[105];ayf0d93<={jr32885>>1,mef0f02[106]};do86c99<={vx9442e>>1,mef0f02[107]};an364ca<=mef0f02[108];dob2655<=mef0f02[109];ba932ab<=mef0f02[110];end\r
+always@* begin ng1e1e0[2047]<=fa6c2d4;ng1e1e0[2046]<=pf616a3;ng1e1e0[2044]<=lsb51f;ng1e1e0[2040]<=vv5a8fb;ng1e1e0[2032]<=bn1ce70[0];ng1e1e0[2028]<=uvc64a4;ng1e1e0[2017]<=cb25ef;ng1e1e0[2008]<=lf32525;ng1e1e0[1988]<=vx33b0[0];ng1e1e0[1987]<=wl12f7d;ng1e1e0[1969]<=mg9292f;ng1e1e0[1928]<=iccec3e;ng1e1e0[1926]<=rva04bd;ng1e1e0[1891]<=hd94979;ng1e1e0[1809]<=rg761f0;ng1e1e0[1805]<=kf23e8c;ng1e1e0[1804]<=mg1550f;ng1e1e0[1803]<=yz172cd;ng1e1e0[1761]<=tuf111f;ng1e1e0[1748]<=gdab323;ng1e1e0[1734]<=oha4bce;ng1e1e0[1727]<=ym10823[0];ng1e1e0[1696]<=bn1c17d;ng1e1e0[1668]<=gd1df20;ng1e1e0[1633]<=do93194;ng1e1e0[1587]<=ps79d12;ng1e1e0[1571]<=lfb0f83;ng1e1e0[1562]<=gofa328;ng1e1e0[1560]<=hdaa879;ng1e1e0[1558]<=ignore_pkt;ng1e1e0[1555]<=zma2177;ng1e1e0[1550]<=dm579a3[0];ng1e1e0[1531]<=ri4632;ng1e1e0[1475]<=uk888fa;ng1e1e0[1464]<=bl7b98b;ng1e1e0[1448]<=mrcc8e0[0];ng1e1e0[1420]<=zm25e74;ng1e1e0[1406]<=vx84118;ng1e1e0[1345]<=yke0bef;ng1e1e0[1288]<=icef904;ng1e1e0[1287]<=fc2fbc1;ng1e1e0[1242]<=yx6f559;ng1e1e0[1218]<=gq98ca2;ng1e1e0[1131]<=yxf8210;ng1e1e0[1126]<=wwce893;ng1e1e0[1094]<=xw69ede;ng1e1e0[1076]<=zm8ca08;ng1e1e0[1072]<=en543cf;ng1e1e0[1069]<=qgcb360;ng1e1e0[1063]<=ie10bba;ng1e1e0[1056]<=ba2085b;ng1e1e0[1055]<=ykef059;ng1e1e0[1052]<=ec346cf;ng1e1e0[1028]<=ldd22e2[0];ng1e1e0[1023]<=ks10d54;ng1e1e0[1014]<=ir23192;ng1e1e0[994]<=vv400ce;ng1e1e0[902]<=of447d1;ng1e1e0[901]<=lde68d9[0];ng1e1e0[880]<=je2fc44[0];ng1e1e0[874]<=zkd5664;ng1e1e0[863]<=jc42104;ng1e1e0[848]<=ux2382f[0];ng1e1e0[834]<=ui43be4[0];ng1e1e0[816]<=wl12632;ng1e1e0[793]<=je2f3a2;ng1e1e0[777]<=vx9442e[0];ng1e1e0[775]<=hq2af34;ng1e1e0[765]<=lqfe289;ng1e1e0[732]<=wj6023b;ng1e1e0[643]<=aa5f78;ng1e1e0[621]<=sw8deab;ng1e1e0[565]<=ym1f042[0];ng1e1e0[528]<=rge410b[0];ng1e1e0[527]<=me7de0b;ng1e1e0[514]<=en5b48b;ng1e1e0[497]<=fnc8019;ng1e1e0[450]<=ksbcd1b;ng1e1e0[437]<=gb7aacc;ng1e1e0[431]<=ux8420;ng1e1e0[417]<=al410ef;ng1e1e0[408]<=hqa24c6;ng1e1e0[388]<=jr32885[0];ng1e1e0[387]<=ep855e6;ng1e1e0[366]<=tu6c047;ng1e1e0[310]<=psf1bd5[0];ng1e1e0[282]<=uif07c1;ng1e1e0[257]<=jeb691;ng1e1e0[248]<=ic59003;ng1e1e0[225]<=rgc0518;ng1e1e0[215]<=mec1084;ng1e1e0[208]<=nt2821d;ng1e1e0[204]<=bl74498;ng1e1e0[193]<=jp50abc;ng1e1e0[183]<=ldcd808[0];ng1e1e0[155]<=fcb7c6f;ng1e1e0[141]<=sw3e0f8;ng1e1e0[128]<=sw216d2[0];ng1e1e0[124]<=ngb200[0];ng1e1e0[112]<=xy1b3c0;ng1e1e0[104]<=cz65043;ng1e1e0[96]<=uxa1e7d;ng1e1e0[91]<=go59b01;ng1e1e0[78]<=wl85dd0;ng1e1e0[77]<=zz16f8d;ng1e1e0[64]<=zm42da;ng1e1e0[62]<=ea782c8;ng1e1e0[56]<=eca3678;ng1e1e0[38]<=kde2df1;ng1e1e0[19]<=me5c5be;ng1e1e0[9]<=bn8b8b7[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module qv835c (\r
+ \r
+ rxmac_clk,\r
+ reset_n,\r
+ rxmac_clk_en,\r
+\r
+ \r
+ ks10d54, \r
+ fa6c2d4, \r
+ ls86aa0, \r
+ pf616a3,\r
+ lsb51f,\r
+ vv5a8fb,\r
+\r
+ \r
+ uv70d29, \r
+ hq8694d, \r
+ ec34a6a, \r
+ lfa5351, \r
+ gd29a8f, \r
+ rg4d47c, \r
+ mr6a3e2, \r
+ of51f14, \r
+ sj8f8a4, \r
+ tu7c524, \r
+ ale2922, \r
+ vk14915, \r
+ ba25a85, \r
+ qgcb360, \r
+ go59b01, \r
+ ldcd808, \r
+ \r
+ tu6c047, \r
+ wj6023b, \r
+\r
+ \r
+ rx_fifo_full, \r
+ ignore_pkt, \r
+\r
+ \r
+ gq3baab, \r
+ ene2fd7, \r
+ cb17ebd, \r
+ bldd558, \r
+ ykeaac7, \r
+\r
+ \r
+ su780a3, \r
+ fa58e7c, \r
+\r
+ \r
+ rx_fifo_error, \r
+ rx_stat_vector, \r
+ rx_dbout, \r
+ rx_write, \r
+ rx_stat_en, \r
+ rx_eof, \r
+ rx_error \r
+);\r
+parameter gq97bed = 8;\r
+parameter mga30a3 = 14;\r
+parameter kf18518 = 16;\r
+parameter vvc28c5 = 16;\r
+parameter ofd97a2 = 20;\r
+parameter ykcbd15 = 32;\r
+parameter do838e7 = 32;\r
+parameter qt5e8a8 = 8;\r
+parameter psf4545 = 4;\r
+parameter kd44a33 = 8;\r
+parameter hocfb0b = 48;\r
+parameter bn28ceb = 2;\r
+parameter ic7d85a = 6;\r
+parameter vvec2d1 = 8;\r
+parameter bn1c73a = 9;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input ks10d54;\r
+input fa6c2d4;\r
+input pf616a3;\r
+input lsb51f;\r
+input vv5a8fb;\r
+input [gq97bed-1:0] ls86aa0;\r
+input [kd44a33-1:0] uv70d29;\r
+input [kd44a33-1:0] hq8694d;\r
+input [kd44a33-1:0] ec34a6a;\r
+input [kd44a33-1:0] lfa5351;\r
+input [kd44a33-1:0] gd29a8f;\r
+input [kd44a33-1:0] rg4d47c;\r
+input [kd44a33-1:0] mr6a3e2;\r
+input [kd44a33-1:0] of51f14;\r
+input [hocfb0b-1:0] sj8f8a4;\r
+input [kf18518-1:0] ba25a85;\r
+input [mga30a3-1:0] ldcd808;\r
+input tu7c524;\r
+input ale2922;\r
+input vk14915;\r
+input qgcb360;\r
+input go59b01;\r
+input tu6c047;\r
+input wj6023b;\r
+input ignore_pkt;\r
+input rx_fifo_full;\r
+output gq3baab;\r
+output [bn1c73a-1:0] bldd558;\r
+output ykeaac7;\r
+output cb17ebd;\r
+output [vvc28c5-1:0] ene2fd7;\r
+output [kf18518-1:0] su780a3;\r
+output fa58e7c;\r
+output rx_fifo_error;\r
+output [ykcbd15-1:0] rx_stat_vector;\r
+output [gq97bed-1:0] rx_dbout;\r
+output rx_write;\r
+output rx_stat_en;\r
+output rx_eof;\r
+output rx_error;\r
+parameter pdevice_family = "XP2";\r
+wire [gq97bed-1:0] xw791a7;\r
+wire [gq97bed-1:0] me469d7;\r
+wire sw34eb8;\r
+wire ria75c1;\r
+wire xy3ae0a;\r
+wire hbd7052;\r
+wire ngb8296;\r
+wire [mga30a3-1:0] qia590;\r
+wire ho52c81;\r
+wire ri9640b;\r
+wire pub205a;\r
+wire ux902d3;\r
+wire tj8169d;\r
+wire [kf18518-1:0] nr5a773;\r
+wire [vvc28c5-1:0] uk9dcf5;\r
+wire [mga30a3-1:0] by73d42;\r
+wire jr9ea12;\r
+wire kqf5097;\r
+wire baa84b8;\r
+wire ho425c3;\r
+wire kf12e1b;\r
+wire ou970dd;\r
+wire rib86e8;\r
+wire kdc3742;\r
+wire hq1ba15;\r
+wire xjdd0ab;\r
+wire uve855f;\r
+wire dm42afd;\r
+wire ym157ea;\r
+wire yzabf51;\r
+wire [do838e7-1:0] czfd46f;\r
+wire suea37c;\r
+wire kd51be0;\r
+wire [ofd97a2-1:0] mr6f821;\r
+wire rg7c10d;\r
+wire ice086a;\r
+wire gq3baab;\r
+wire ykeaac7;\r
+wire [bn1c73a-1:0] bldd558;\r
+wire [bn28ceb-1:0] sw82127;\r
+wire [ic7d85a-1:0] zz849c8;\r
+wire [qt5e8a8-1:0] nt27238;\r
+wire [qt5e8a8-1:0] thc8e34;\r
+wire fa471a7;\r
+wire vx38d3b;\r
+wire [ofd97a2-1:0] ec34efc;\r
+reg jea77e1;\r
+reg zm1b29c;\r
+reg ic77429;\r
+reg [gq97bed - 1 : 0] neca715;\r
+reg rvba149;\r
+reg ned0a4f;\r
+reg kf8527a;\r
+reg [kd44a33 - 1 : 0] gb6abd3;\r
+reg [kd44a33 - 1 : 0] ntaf4ee;\r
+reg [kd44a33 - 1 : 0] czd3bb5;\r
+reg [kd44a33 - 1 : 0] dmeed68;\r
+reg [kd44a33 - 1 : 0] dbb5a1a;\r
+reg [kd44a33 - 1 : 0] go686bb;\r
+reg [kd44a33 - 1 : 0] ie1aec9;\r
+reg [kd44a33 - 1 : 0] yzbb24e;\r
+reg [hocfb0b - 1 : 0] gbc93ad;\r
+reg ay49d6c;\r
+reg kd4eb61;\r
+reg ip75b0c;\r
+reg [kf18518 - 1 : 0] qv39dc8;\r
+reg gq88319;\r
+reg cz418c8;\r
+reg [mga30a3 - 1 : 0] ho6322e;\r
+reg xl19172;\r
+reg vvc8b97;\r
+reg wwde5f9;\r
+reg wwd1063;\r
+reg [gq97bed - 1 : 0] ym3e93a;\r
+reg [gq97bed - 1 : 0] epa4e83;\r
+reg zz2741c;\r
+reg je3a0e6;\r
+reg byd0733;\r
+reg vk8399b;\r
+reg ph1ccda;\r
+reg [mga30a3 - 1 : 0] gd336b6;\r
+reg bn9b5b5;\r
+reg vidadae;\r
+reg end6d73;\r
+reg swb6b9d;\r
+reg phb5ce8;\r
+reg [kf18518 - 1 : 0] zk73a3c;\r
+reg [vvc28c5 - 1 : 0] qte8f11;\r
+reg [mga30a3 - 1 : 0] yz3c462;\r
+reg ofe2314;\r
+reg zm118a4;\r
+reg ba8c522;\r
+reg me62912;\r
+reg ng14891;\r
+reg kfa448a;\r
+reg zz22455;\r
+reg kf122ae;\r
+reg nt91574;\r
+reg oh8aba7;\r
+reg pf55d3c;\r
+reg anae9e6;\r
+reg bl74f35;\r
+reg lsa79af;\r
+reg [do838e7 - 1 : 0] fne6bdd;\r
+reg je35eef;\r
+reg zzaf77b;\r
+reg [ofd97a2 - 1 : 0] vvddef6;\r
+reg ykef7b6;\r
+reg hb7bdb0;\r
+reg [bn28ceb - 1 : 0] pff6c31;\r
+reg [ic7d85a - 1 : 0] ntb0c71;\r
+reg [qt5e8a8 - 1 : 0] co31c7b;\r
+reg [qt5e8a8 - 1 : 0] xj71ecf;\r
+reg gd8f67f;\r
+reg hb7b3fd;\r
+reg [ofd97a2 - 1 : 0] facff7c;\r
+reg [2047:0] ng1e1e0;\r
+wire [69:0] mef0f02;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam uk87811 = 70,gd3c088 = 32'hfdffd42b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign me469d7 = ym3e93a;\r
+\r
+\r
+assign cb17ebd = phb5ce8;\r
+assign ene2fd7 = qte8f11;\r
+assign su780a3 = zk73a3c;\r
+\r
+\r
+\r
+\r
+assign sw82127 = vvddef6[19:18];\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+hd2df10 #( .gq97bed(gq97bed) ) sh5e340 ( .rxmac_clk(rxmac_clk), .rxmac_clk_en(rxmac_clk_en), .reset_n(jea77e1), .ks10d54(zm1b29c), .ls86aa0(neca715), .ls35502(nt91574), .lfaa812(pf55d3c), .kd54097(xw791a7), .rva04bd(sw34eb8), .cb25ef(ria75c1), .wl12f7d(xy3ae0a) );\r
+\r
+cm4cad9 #( .gq97bed(gq97bed), .mga30a3(mga30a3), .kf18518(kf18518), .vvc28c5(vvc28c5) ) sj3cedd ( .rxmac_clk(rxmac_clk), .reset_n(jea77e1), .rxmac_clk_en(rxmac_clk_en), .bn1ce70(ym3e93a), .jc4d12d(anae9e6), .lfaa812(oh8aba7), .ykce1a5(bl74f35), .gb44b50(lsa79af), .ba25a85(qv39dc8), .ukf3ec(ba8c522), .zz22aa1(kf122ae), .jp50abc(hbd7052), .ep855e6(ec883a1), .hq2af34(ngb8296), .dm579a3(qia590), .ksbcd1b(jr9ea12), .lde68d9(by73d42), .ec346cf(ho52c81), .eca3678(pub205a), .xy1b3c0(ux902d3), .qtd9e02(uk9dcf5), .lqcf014(tj8169d), .su780a3(nr5a773), .rgc0518(kqf5097), .ir28c2(ri9640b) );\r
+\r
+xl8b21c #( .gq97bed(gq97bed), .hocfb0b(hocfb0b), .ic7d85a(ic7d85a), .vvec2d1(vvec2d1) ) kf361ee ( .rxmac_clk(rxmac_clk), .reset_n(jea77e1), .rxmac_clk_en(rxmac_clk_en), .bn1ce70(ym3e93a), .cb25ef(je3a0e6), .lfaa812(oh8aba7), .ykce1a5(bl74f35), .uv70d29(gb6abd3), .hq8694d(ntaf4ee), .ec34a6a(czd3bb5), .lfa5351(dmeed68), .gd29a8f(dbb5a1a), .rg4d47c(go686bb), .mr6a3e2(ie1aec9), .of51f14(yzbb24e), .sj8f8a4(gbc93ad), .tu7c524(ay49d6c), .ale2922(kd4eb61), .vk14915(ip75b0c), .wla48aa(ntb0c71), .ks24554(mr65e50), .mg1550f(ho425c3), .hdaa879(kf12e1b), .en543cf(ou970dd), .uxa1e7d(rib86e8), .ukf3ec(baa84b8), .zz22aa1(kdc3742) );\r
+\r
+\r
+gd33aed #( .gq97bed(gq97bed), .mga30a3(mga30a3), .ofd97a2(ofd97a2), .ykcbd15(ykcbd15), .do838e7(do838e7), .bn1c73a(bn1c73a) ) xya83f4 ( .rxmac_clk(rxmac_clk), .reset_n(jea77e1), .rxmac_clk_en(rxmac_clk_en), .ks10d54(zm1b29c), .fa6c2d4(ic77429), .pf616a3(rvba149), .lsb51f(ned0a4f), .vv5a8fb(kf8527a), .bn1ce70(ym3e93a), .cb25ef(je3a0e6), .wl12f7d(byd0733), .rva04bd(zz2741c), .mg1550f(me62912), .hdaa879(ng14891), .en543cf(kfa448a), .uxa1e7d(zz22455), .jp50abc(vk8399b), .ep855e6(ec883a1), .hq2af34(ph1ccda), .dm579a3(gd336b6), .ec346cf(bn9b5b5), .yz172cd(vidadae), .eca3678(end6d73), .xy1b3c0(swb6b9d), .rgc0518(zm118a4), .ksbcd1b(ofe2314), .lde68d9(yz3c462), .ignore_pkt(wwd1063), .qgcb360(gq88319), .go59b01(cz418c8), .ldcd808(ho6322e), .tu6c047(xl19172), .wj6023b(vvc8b97), .bl7b98b(db815d6), .lfaa812(xjdd0ab), .ykce1a5(ym157ea),\r
+ .gq3baab(gq3baab), .bldd558(bldd558), .ykeaac7(ykeaac7), .fa58e7c(fa58e7c), .ls35502(hq1ba15), .yzab1cf(uve855f), .jc4d12d(dm42afd), .gb44b50(yzabf51), .epae410(mr6f821), .tu42b90(rg7c10d), .wy15c82(ice086a), .kf17a95(czfd46f), .ks24554(suea37c), .fp8bd30(qi5f6f), .jc52b50(kd51be0) );\r
+\r
+oub9ee6 mr6f2cc ( .pscf731(zz849c8), .bl7b98b(db815d6), .mrdcc5e(ym3e93a), .hbe62f4(je35eef), .uk317a6(mr65e50), .fp8bd30(qi5f6f), .uv5e986(zzaf77b), .vif4c35(fne6bdd), .oua61ab(rxmac_clk), .vk86ac3(jea77e1), .rxmac_clk_en(rxmac_clk_en) );\r
+\r
+uvd3c42 #( .gq97bed(gq97bed), .ofd97a2(ofd97a2), .ykcbd15(ykcbd15), .qt5e8a8(qt5e8a8), .psf4545(psf4545) ) uk3bde8 ( .rxmac_clk(rxmac_clk), .reset_n(jea77e1), .rxmac_clk_en(rxmac_clk_en), .tu42b90(ykef7b6), .wy15c82(hb7bdb0), .epae410(pff6c31), .rx_fifo_full(wwde5f9), .ep90421(facff7c), .sw8210d(nt27238), .sw10869(thc8e34), .zz84348(fa471a7), .sw21a46(vx38d3b), .rx_fifo_error(rx_fifo_error), .rx_stat_vector(rx_stat_vector), .rx_dbout(rx_dbout), .rx_write(rx_write), .rx_stat_en(rx_stat_en), .rx_eof(rx_eof), .rx_error(rx_error) );\r
+\r
+pmi_ram_dp #(.pmi_wr_addr_depth(256), .pmi_wr_addr_width(8), .pmi_wr_data_width(20), .pmi_rd_addr_depth(256), .pmi_rd_addr_width(8), .pmi_rd_data_width(20), .pmi_regmode("noreg"), .pmi_gsr("disable"), .pmi_resetmode("sync"), .pmi_init_file("none"), .pmi_init_file_format("binary"), .pmi_family(pdevice_family), .module_type("pmi_ram_dp") )\r
+thc75fb (.Data(mr6f821), .WrAddress(nt27238), .RdAddress(thc8e34), .WrClock(rxmac_clk), .RdClock(rxmac_clk), .WrClockEn(1'b1), .RdClockEn(fa471a7 & rxmac_clk_en), .WE(vx38d3b), .Reset(1'b0), .Q(ec34efc) );\r
+always@* begin jea77e1<=mef0f02[0];zm1b29c<=mef0f02[1];ic77429<=mef0f02[2];neca715<={ls86aa0>>1,mef0f02[3]};rvba149<=mef0f02[4];ned0a4f<=mef0f02[5];kf8527a<=mef0f02[6];gb6abd3<={uv70d29>>1,mef0f02[7]};ntaf4ee<={hq8694d>>1,mef0f02[8]};czd3bb5<={ec34a6a>>1,mef0f02[9]};dmeed68<={lfa5351>>1,mef0f02[10]};dbb5a1a<={gd29a8f>>1,mef0f02[11]};go686bb<={rg4d47c>>1,mef0f02[12]};ie1aec9<={mr6a3e2>>1,mef0f02[13]};yzbb24e<={of51f14>>1,mef0f02[14]};gbc93ad<={sj8f8a4>>1,mef0f02[15]};ay49d6c<=mef0f02[16];kd4eb61<=mef0f02[17];ip75b0c<=mef0f02[18];qv39dc8<={ba25a85>>1,mef0f02[19]};gq88319<=mef0f02[20];cz418c8<=mef0f02[21];ho6322e<={ldcd808>>1,mef0f02[22]};xl19172<=mef0f02[23];vvc8b97<=mef0f02[24];wwde5f9<=mef0f02[25];wwd1063<=mef0f02[26];ym3e93a<={xw791a7>>1,mef0f02[27]};epa4e83<={me469d7>>1,mef0f02[28]};zz2741c<=mef0f02[29];je3a0e6<=mef0f02[30];byd0733<=mef0f02[31];vk8399b<=mef0f02[32];ph1ccda<=mef0f02[33];gd336b6<={qia590>>1,mef0f02[34]};bn9b5b5<=mef0f02[35];vidadae<=mef0f02[36];end6d73<=mef0f02[37];swb6b9d<=mef0f02[38];phb5ce8<=mef0f02[39];zk73a3c<={nr5a773>>1,mef0f02[40]};qte8f11<={uk9dcf5>>1,mef0f02[41]};yz3c462<={by73d42>>1,mef0f02[42]};ofe2314<=mef0f02[43];zm118a4<=mef0f02[44];ba8c522<=mef0f02[45];me62912<=mef0f02[46];ng14891<=mef0f02[47];kfa448a<=mef0f02[48];zz22455<=mef0f02[49];kf122ae<=mef0f02[50];nt91574<=mef0f02[51];oh8aba7<=mef0f02[52];pf55d3c<=mef0f02[53];anae9e6<=mef0f02[54];bl74f35<=mef0f02[55];lsa79af<=mef0f02[56];fne6bdd<={czfd46f>>1,mef0f02[57]};je35eef<=mef0f02[58];zzaf77b<=mef0f02[59];vvddef6<={mr6f821>>1,mef0f02[60]};ykef7b6<=mef0f02[61];hb7bdb0<=mef0f02[62];pff6c31<={sw82127>>1,mef0f02[63]};ntb0c71<={zz849c8>>1,mef0f02[64]};co31c7b<={nt27238>>1,mef0f02[65]};xj71ecf<={thc8e34>>1,mef0f02[66]};gd8f67f<=mef0f02[67];hb7b3fd<=mef0f02[68];facff7c<={ec34efc>>1,mef0f02[69]};end\r
+always@* begin ng1e1e0[2047]<=ks10d54;ng1e1e0[2046]<=fa6c2d4;ng1e1e0[2044]<=ls86aa0[0];ng1e1e0[2041]<=pf616a3;ng1e1e0[2035]<=lsb51f;ng1e1e0[2029]<=czfd46f[0];ng1e1e0[2022]<=vv5a8fb;ng1e1e0[2011]<=suea37c;ng1e1e0[1996]<=uv70d29[0];ng1e1e0[1974]<=kd51be0;ng1e1e0[1945]<=hq8694d[0];ng1e1e0[1943]<=rib86e8;ng1e1e0[1922]<=rx_fifo_full;ng1e1e0[1901]<=mr6f821[0];ng1e1e0[1842]<=ec34a6a[0];ng1e1e0[1839]<=kdc3742;ng1e1e0[1797]<=ignore_pkt;ng1e1e0[1791]<=fa471a7;ng1e1e0[1778]<=ho425c3;ng1e1e0[1776]<=ldcd808[0];ng1e1e0[1759]<=zz849c8[0];ng1e1e0[1755]<=rg7c10d;ng1e1e0[1637]<=lfa5351[0];ng1e1e0[1631]<=hq1ba15;ng1e1e0[1622]<=of51f14[0];ng1e1e0[1546]<=xw791a7[0];ng1e1e0[1535]<=vx38d3b;ng1e1e0[1531]<=ym157ea;ng1e1e0[1509]<=kf12e1b;ng1e1e0[1504]<=tu6c047;ng1e1e0[1471]<=nt27238[0];ng1e1e0[1468]<=qgcb360;ng1e1e0[1463]<=ice086a;ng1e1e0[1391]<=vk14915;ng1e1e0[1312]<=qia590[0];ng1e1e0[1226]<=gd29a8f[0];ng1e1e0[1215]<=xjdd0ab;ng1e1e0[1197]<=sj8f8a4[0];ng1e1e0[1153]<=ri9640b;ng1e1e0[1044]<=me469d7[0];ng1e1e0[1037]<=tj8169d;ng1e1e0[1023]<=reset_n;ng1e1e0[1022]<=ec34efc[0];ng1e1e0[1014]<=yzabf51;ng1e1e0[971]<=ou970dd;ng1e1e0[961]<=wj6023b;ng1e1e0[895]<=thc8e34[0];ng1e1e0[889]<=baa84b8;ng1e1e0[888]<=go59b01;ng1e1e0[879]<=sw82127[0];ng1e1e0[811]<=mr6a3e2[0];ng1e1e0[765]<=dm42afd;ng1e1e0[734]<=ba25a85[0];ng1e1e0[695]<=ale2922;ng1e1e0[656]<=ngb8296;ng1e1e0[576]<=ho52c81;ng1e1e0[518]<=ux902d3;ng1e1e0[444]<=kqf5097;ng1e1e0[405]<=rg4d47c[0];ng1e1e0[382]<=uve855f;ng1e1e0[347]<=tu7c524;ng1e1e0[328]<=hbd7052;ng1e1e0[259]<=pub205a;ng1e1e0[222]<=jr9ea12;ng1e1e0[164]<=xy3ae0a;ng1e1e0[111]<=by73d42[0];ng1e1e0[82]<=ria75c1;ng1e1e0[55]<=uk9dcf5[0];ng1e1e0[41]<=sw34eb8;ng1e1e0[27]<=nr5a773[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module osc4e65 ( ph27329,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+\r
+ of653ad, \r
+ ep29d6d, \r
+\r
+ cz4eb6c \r
+ );\r
+parameter wj75b64 = 4;\r
+input ph27329;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input of653ad;\r
+input [wj75b64-1:0] ep29d6d;\r
+output cz4eb6c;\r
+reg aa82cd7;\r
+reg db166b8;\r
+reg [15:0] gqb35c6;\r
+reg [31:0] an9ae31;\r
+wire thd718d = (~aa82cd7 & of653ad);\r
+wire cz4eb6c = db166b8 & (gqb35c6 == 0);\r
+wire [31:0] tu73a4e;\r
+reg uk9d272;\r
+reg [wj75b64 - 1 : 0] ay49c8f;\r
+reg kd4e479;\r
+reg kq723cd;\r
+reg [15 : 0] hd91e69;\r
+reg [31 : 0] oh8f34e;\r
+reg rg79a75;\r
+reg [31 : 0] pfcd3ab;\r
+reg [2047:0] ng1e1e0;\r
+wire [7:0] mef0f02;\r
+\r
+localparam uk87811 = 8,gd3c088 = 32'hfdffd84b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) gqb35c6 <= 0; else if (txmac_clk_en) begin if (rg79a75) begin casex(ay49c8f) 4'h1: begin gqb35c6[15:0] <= {9'b00000000, oh8f34e[0], {6{1'b0}}}; end 4'h2: begin gqb35c6[15:0] <= {8'b00000000, oh8f34e[1:0], {6{1'b0}}}; end 4'h3: begin gqb35c6[15:0] <= {7'b0000000, oh8f34e[2:0], {6{1'b0}}}; end 4'h4: begin gqb35c6[15:0] <= {6'b000000, oh8f34e[3:0], {6{1'b0}}}; end 4'h5: begin gqb35c6[15:0] <= {5'b00000, oh8f34e[4:0], {6{1'b0}}}; end 4'h6: begin gqb35c6[15:0] <= {4'b0000, oh8f34e[5:0], {6{1'b0}}}; end 4'h7: begin gqb35c6[15:0] <= {3'b000, oh8f34e[6:0], {6{1'b0}}}; end 4'h8: begin gqb35c6[15:0] <= {2'b00, oh8f34e[7:0], {6{1'b0}}}; end 4'h9: begin gqb35c6[15:0] <= {1'b0, oh8f34e[8:0], {6{1'b0}}}; end default: begin gqb35c6[15:0] <= {oh8f34e[9:0], {6{1'b0}}}; end endcase end else if (kq723cd) begin gqb35c6 <= hd91e69 - {{15{1'b0}}, 1'b1}; end end end\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin aa82cd7 <= 1'b0; db166b8 <= 1'b0; end else if (txmac_clk_en) begin aa82cd7 <= uk9d272; db166b8 <= kd4e479; end end assign tu73a4e[31:1] = oh8f34e[30:0]; assign tu73a4e[0] = oh8f34e[1]^oh8f34e[5]^oh8f34e[6]^oh8f34e[31];\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin an9ae31 <= {32{1'b1}}; end else if (txmac_clk_en) begin an9ae31 <= pfcd3ab; end end \r
+\r
+always@* begin uk9d272<=mef0f02[0];ay49c8f<={ep29d6d>>1,mef0f02[1]};kd4e479<=mef0f02[2];kq723cd<=mef0f02[3];hd91e69<={gqb35c6>>1,mef0f02[4]};oh8f34e<={an9ae31>>1,mef0f02[5]};rg79a75<=mef0f02[6];pfcd3ab<={tu73a4e>>1,mef0f02[7]};end\r
+always@* begin ng1e1e0[2047]<=ep29d6d[0];ng1e1e0[2046]<=aa82cd7;ng1e1e0[2044]<=db166b8;ng1e1e0[2040]<=gqb35c6[0];ng1e1e0[2033]<=an9ae31[0];ng1e1e0[2019]<=thd718d;ng1e1e0[1991]<=tu73a4e[0];ng1e1e0[1023]<=of653ad;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module mr7f553 (\r
+ os627fb, \r
+ mrdcc5e,\r
+ hbe62f4,\r
+ uv5e986,\r
+ vif4c35,\r
+ oua61ab,\r
+ txmac_clk_en,\r
+ vk86ac3\r
+ );\r
+output [31:0] os627fb;\r
+input [7:0] mrdcc5e;\r
+input [31:0] vif4c35;\r
+input hbe62f4;\r
+input uv5e986;\r
+input oua61ab;\r
+input txmac_clk_en;\r
+input vk86ac3;\r
+reg [31:0] zm3f362;\r
+wire [31:0] ps6c4ff;\r
+wire [31:0] os627fb;\r
+reg [7 : 0] bn13fd8;\r
+reg wl9fec7;\r
+reg dzd8f4b;\r
+reg [31 : 0] nrc7a5e;\r
+reg [31 : 0] db3d2f6;\r
+reg [31 : 0] dze97b6;\r
+reg [2047:0] ng1e1e0;\r
+wire [5:0] mef0f02;\r
+\r
+localparam uk87811 = 6,gd3c088 = 32'hfdffc70b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+assign os627fb[31] = ~db3d2f6[0];\r
+assign os627fb[30] = ~db3d2f6[1];\r
+assign os627fb[29] = ~db3d2f6[2];\r
+assign os627fb[28] = ~db3d2f6[3];\r
+assign os627fb[27] = ~db3d2f6[4];\r
+assign os627fb[26] = ~db3d2f6[5];\r
+assign os627fb[25] = ~db3d2f6[6];\r
+assign os627fb[24] = ~db3d2f6[7];\r
+assign os627fb[23] = ~db3d2f6[8];\r
+assign os627fb[22] = ~db3d2f6[9];\r
+assign os627fb[21] = ~db3d2f6[10];\r
+assign os627fb[20] = ~db3d2f6[11];\r
+assign os627fb[19] = ~db3d2f6[12];\r
+assign os627fb[18] = ~db3d2f6[13];\r
+assign os627fb[17] = ~db3d2f6[14];\r
+assign os627fb[16] = ~db3d2f6[15];\r
+assign os627fb[15] = ~db3d2f6[16];\r
+assign os627fb[14] = ~db3d2f6[17];\r
+assign os627fb[13] = ~db3d2f6[18];\r
+assign os627fb[12] = ~db3d2f6[19];\r
+assign os627fb[11] = ~db3d2f6[20];\r
+assign os627fb[10] = ~db3d2f6[21];\r
+assign os627fb[9] = ~db3d2f6[22];\r
+assign os627fb[8] = ~db3d2f6[23];\r
+assign os627fb[7] = ~db3d2f6[24];\r
+assign os627fb[6] = ~db3d2f6[25];\r
+assign os627fb[5] = ~db3d2f6[26];\r
+assign os627fb[4] = ~db3d2f6[27];\r
+assign os627fb[3] = ~db3d2f6[28];\r
+assign os627fb[2] = ~db3d2f6[29];\r
+assign os627fb[1] = ~db3d2f6[30];\r
+assign os627fb[0] = ~db3d2f6[31];\r
+\r
+always @ (posedge oua61ab or negedge vk86ac3)\r
+begin if (!vk86ac3) begin zm3f362 <= 32'hffffffff; end else if (txmac_clk_en) begin if (wl9fec7) begin zm3f362 <= dze97b6; end else if (dzd8f4b) begin zm3f362 <= nrc7a5e; end end\r
+end\r
+\r
+assign ps6c4ff[0] = db3d2f6[30] ^ bn13fd8[7] ^ bn13fd8[1] ^ db3d2f6[24];\r
+assign ps6c4ff[1] = db3d2f6[30] ^ bn13fd8[6] ^ bn13fd8[7] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25];\r
+assign ps6c4ff[2] = db3d2f6[30] ^ bn13fd8[6] ^ bn13fd8[7] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25] ^ db3d2f6[26] ^ bn13fd8[5];\r
+assign ps6c4ff[3] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[25] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ bn13fd8[6];\r
+assign ps6c4ff[4] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[7];\r
+assign ps6c4ff[5] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[2] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[6] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[7] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[24] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[8] = db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ bn13fd8[6] ^ bn13fd8[7] ^ db3d2f6[0];\r
+assign ps6c4ff[9] = db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29] ^ db3d2f6[1];\r
+assign ps6c4ff[10] = db3d2f6[24] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[29] ^ bn13fd8[7] ^ db3d2f6[2];\r
+assign ps6c4ff[11] = db3d2f6[3] ^ db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ bn13fd8[6] ^ bn13fd8[7];\r
+assign ps6c4ff[12] = db3d2f6[30] ^ db3d2f6[4] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[5] ^ db3d2f6[28] ^ bn13fd8[6] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[13] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[5] ^ bn13fd8[1] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[14] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[6] ^ db3d2f6[26] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5] ^ db3d2f6[28];\r
+assign ps6c4ff[15] = db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[2] ^ db3d2f6[7] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[28] ^ db3d2f6[29];\r
+assign ps6c4ff[16] = db3d2f6[24] ^ bn13fd8[2] ^ bn13fd8[3] ^ db3d2f6[8] ^ db3d2f6[28] ^ db3d2f6[29] ^ bn13fd8[7];\r
+assign ps6c4ff[17] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[25] ^ bn13fd8[2] ^ db3d2f6[9] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[18] = db3d2f6[30] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ db3d2f6[26] ^ bn13fd8[5] ^ db3d2f6[10];\r
+assign ps6c4ff[19] = db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[11];\r
+assign ps6c4ff[20] = db3d2f6[12] ^ bn13fd8[3] ^ db3d2f6[28];\r
+assign ps6c4ff[21] = db3d2f6[13] ^ bn13fd8[2] ^ db3d2f6[29];\r
+assign ps6c4ff[22] = db3d2f6[14] ^ db3d2f6[24] ^ bn13fd8[7];\r
+assign ps6c4ff[23] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[24] ^ db3d2f6[15] ^ db3d2f6[25] ^ bn13fd8[6] ^ bn13fd8[7];\r
+assign ps6c4ff[24] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[25] ^ db3d2f6[16] ^ db3d2f6[26] ^ bn13fd8[5] ^ bn13fd8[6];\r
+assign ps6c4ff[25] = db3d2f6[26] ^ db3d2f6[17] ^ bn13fd8[4] ^ db3d2f6[27] ^ bn13fd8[5];\r
+assign ps6c4ff[26] = db3d2f6[30] ^ bn13fd8[1] ^ db3d2f6[24] ^ bn13fd8[3] ^ bn13fd8[4] ^ db3d2f6[27] ^ db3d2f6[18] ^ db3d2f6[28] ^ bn13fd8[7];\r
+assign ps6c4ff[27] = db3d2f6[31] ^ bn13fd8[0] ^ db3d2f6[25] ^ bn13fd8[2] ^ bn13fd8[3] ^ db3d2f6[28] ^ db3d2f6[19] ^ bn13fd8[6] ^ db3d2f6[29];\r
+assign ps6c4ff[28] = db3d2f6[30] ^ bn13fd8[1] ^ bn13fd8[2] ^ db3d2f6[26] ^ bn13fd8[5] ^ db3d2f6[29] ^ db3d2f6[20];\r
+assign ps6c4ff[29] = db3d2f6[30] ^ db3d2f6[21] ^ db3d2f6[31] ^ bn13fd8[0] ^ bn13fd8[1] ^ bn13fd8[4] ^ db3d2f6[27];\r
+assign ps6c4ff[30] = db3d2f6[31] ^ db3d2f6[22] ^ bn13fd8[0] ^ bn13fd8[3] ^ db3d2f6[28];\r
+assign ps6c4ff[31] = db3d2f6[23] ^ bn13fd8[2] ^ db3d2f6[29];\r
+always@* begin bn13fd8<={mrdcc5e>>1,mef0f02[0]};wl9fec7<=mef0f02[1];dzd8f4b<=mef0f02[2];nrc7a5e<={vif4c35>>1,mef0f02[3]};db3d2f6<={zm3f362>>1,mef0f02[4]};dze97b6<={ps6c4ff>>1,mef0f02[5]};end\r
+always@* begin ng1e1e0[2047]<=hbe62f4;ng1e1e0[2046]<=uv5e986;ng1e1e0[2044]<=vif4c35[0];ng1e1e0[2040]<=zm3f362[0];ng1e1e0[2032]<=ps6c4ff[0];ng1e1e0[1023]<=mrdcc5e[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ng3abec ( ph27329,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+\r
+ xjec4b9, \r
+ ay625cf, \r
+ sj12e78, \r
+ aa973c5, \r
+ ieb9e28, \r
+ tucf141 \r
+ );\r
+parameter ea78a0b = 5;\r
+input ph27329;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input xjec4b9;\r
+input sj12e78;\r
+input aa973c5;\r
+input [ea78a0b-1:0] ay625cf;\r
+output tucf141;\r
+output ieb9e28;\r
+reg [ea78a0b-1:0] cm531bb;\r
+reg [ea78a0b-1:0] yxc6ec0;\r
+reg nt37604;\r
+reg ieb9e28;\r
+reg pfd813d;\r
+reg hoc09ef;\r
+reg tucf141;\r
+parameter bn27bdb = 1'b0;\r
+parameter fp3dedf = 1'b1;\r
+wire [ea78a0b-1:0] bl7b7f8 = ay625cf - 5'd1;\r
+reg [ea78a0b-1:0] icff1cb;\r
+wire uvf8e59 = xjec4b9 & ~nt37604;\r
+reg ykcb37c;\r
+reg [ea78a0b - 1 : 0] dzcdf08;\r
+reg ip6f847;\r
+reg lq7c23d;\r
+reg [ea78a0b - 1 : 0] wl8f53;\r
+reg [ea78a0b - 1 : 0] bn3d4ea;\r
+reg kqea756;\r
+reg ww53ab0;\r
+reg gq9d581;\r
+reg [ea78a0b - 1 : 0] qg56049;\r
+reg [ea78a0b - 1 : 0] vx81246;\r
+reg ph9236;\r
+reg [2047:0] ng1e1e0;\r
+wire [11:0] mef0f02;\r
+\r
+localparam uk87811 = 12,gd3c088 = 32'hfdffe0cb;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+always @ (wl8f53 or vx81246) begin if(wl8f53 == vx81246) tucf141 = 1'b1; else tucf141 = 1'b0; end\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin pfd813d <= bn27bdb; icff1cb <= 6'b0; end else if (txmac_clk_en) begin pfd813d <= gq9d581; icff1cb <= qg56049; end end always @ (ww53ab0 or ykcb37c or tucf141) begin case(ww53ab0) bn27bdb: begin if(!ykcb37c) begin hoc09ef = bn27bdb; end else begin hoc09ef = fp3dedf; end end fp3dedf: begin if(tucf141) begin hoc09ef = bn27bdb; end else begin hoc09ef = fp3dedf; end end endcase end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin cm531bb <= 5'd0; end else if (txmac_clk_en) begin if(ip6f847) begin cm531bb <= 5'd0; end else if(gq9d581) begin cm531bb <= wl8f53 + 5'd1; end else begin cm531bb <= 5'd0; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin nt37604 <= 1'b0; end else if (txmac_clk_en) begin nt37604 <= ykcb37c; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) yxc6ec0 <= 5'd0; else if (txmac_clk_en) begin if( (ph9236 || ((bn3d4ea != vx81246) && (bn3d4ea != 5'd0)) ) && (ieb9e28 || !lq7c23d) ) yxc6ec0 <= bn3d4ea + 5'd1; else if(!ph9236) begin yxc6ec0 <= 5'd0; end end end\r
+ always @ (dzcdf08 or bn3d4ea) begin case(dzcdf08) 5'd24: ieb9e28 = bn3d4ea[4]; 5'd27: ieb9e28 = bn3d4ea[4] & (|bn3d4ea[3:1]); 5'd30: ieb9e28 = bn3d4ea[4] & (|bn3d4ea[3:2]); default: ieb9e28 = 1'b0; endcase end\r
+always@* begin ykcb37c<=mef0f02[0];dzcdf08<={ay625cf>>1,mef0f02[1]};ip6f847<=mef0f02[2];lq7c23d<=mef0f02[3];wl8f53<={cm531bb>>1,mef0f02[4]};bn3d4ea<={yxc6ec0>>1,mef0f02[5]};kqea756<=mef0f02[6];ww53ab0<=mef0f02[7];gq9d581<=mef0f02[8];qg56049<={bl7b7f8>>1,mef0f02[9]};vx81246<={icff1cb>>1,mef0f02[10]};ph9236<=mef0f02[11];end\r
+always@* begin ng1e1e0[2047]<=ay625cf[0];ng1e1e0[2046]<=sj12e78;ng1e1e0[2044]<=aa973c5;ng1e1e0[2040]<=cm531bb[0];ng1e1e0[2033]<=yxc6ec0[0];ng1e1e0[2018]<=nt37604;ng1e1e0[1988]<=pfd813d;ng1e1e0[1929]<=hoc09ef;ng1e1e0[1811]<=bl7b7f8[0];ng1e1e0[1574]<=icff1cb[0];ng1e1e0[1101]<=uvf8e59;ng1e1e0[1023]<=xjec4b9;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module bn91cf5 (txmac_clk,\r
+ ir3994e,\r
+ txmac_clk_en, \r
+ gof5dd7, \r
+ anaeeb9, \r
+ yk775cd, \r
+ phbae69, \r
+ vvd734e, \r
+ ukb9a76, \r
+ nrcd3b1, \r
+ fa69d8e, \r
+ kd4ec72, \r
+ ho76394, \r
+ irb1ca3, \r
+ tx_fifoavail, \r
+ os728ef, \r
+ jr94778, \r
+ gqa3bc7, \r
+ sj1de39, \r
+ ayef1cc, \r
+ ui78e60, \r
+ tucf141, \r
+ gd3980f, \r
+ encc07d, \r
+ mr603ec, \r
+ ng1f65, \r
+ cz4eb6c, \r
+ ieb9e28, \r
+ ldecac1, \r
+ gb6560e, \r
+ qi2b075, \r
+ tx_discfrm, \r
+ mec1d43, \r
+ xyea19, \r
+ ip750ca, \r
+ lsa8654, \r
+ ic432a3, \r
+ tj19519, \r
+ neca8cf, \r
+ xjec4b9, \r
+ iea33d5, \r
+ of653ad, \r
+ bycf546, \r
+ sh7aa33, \r
+ rgd519c, \r
+ twa8ce3, \r
+ qg4671a, \r
+ ep338d1, \r
+ fc9c68c, \r
+ hbe3463, \r
+ uk1a31e, \r
+ qgd18f0, \r
+ hq8c780, \r
+ jc63c02, \r
+ pu1e013, \r
+ cmf009a, \r
+ qv804d0, \r
+ ep29d6d, \r
+ hd13425, \r
+ oh9a12e, \r
+ vid0974, \r
+ xl84ba2, \r
+ hq25d17, \r
+ sj12e78, \r
+ aa973c5, \r
+ nga2e8e \r
+ );\r
+parameter wl17473 = 48;\r
+parameter fpba39c = 16;\r
+parameter uid1ce7 = 8;\r
+parameter ph8e738 = 16;\r
+parameter zk739c7 = 32;\r
+parameter ri9ce3a = 14;\r
+parameter wj75b64 = 4;\r
+parameter xl38e94 = 22;\r
+parameter ldc74a7 = 13;\r
+parameter pu3a53f = 13'd6072;\r
+parameter zxd29fb = 8'h55;\r
+parameter hd94fdf = 8'hd5;\r
+parameter nga7efe = 8'h00;\r
+parameter vk3f7f3 = 8'h01;\r
+parameter tufbf9b = 8'h80;\r
+parameter zkdfcda = 8'hc2;\r
+parameter jcfe6d3 = 8'h00;\r
+parameter dzf369b = 8'h00;\r
+parameter db9b4df = 8'h01;\r
+parameter lqda6fe = 8'h88;\r
+parameter yxd37f2 = 8'h08;\r
+parameter vx9bf94 = 8'h00;\r
+input txmac_clk;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input gof5dd7;\r
+input anaeeb9;\r
+input yk775cd;\r
+input phbae69;\r
+input vvd734e;\r
+input ukb9a76;\r
+input [wl17473-1:0] nrcd3b1;\r
+input [fpba39c-1:0] fa69d8e;\r
+input [uid1ce7-1:0] kd4ec72;\r
+input ho76394;\r
+input irb1ca3;\r
+input tx_fifoavail;\r
+input os728ef;\r
+input gqa3bc7;\r
+input sj1de39;\r
+input [ph8e738-1:0] ayef1cc;\r
+input ui78e60;\r
+input tucf141;\r
+input [zk739c7-1:0] gd3980f;\r
+input encc07d;\r
+input mr603ec;\r
+input [uid1ce7:0] jr94778;\r
+input ng1f65;\r
+input cz4eb6c;\r
+input ieb9e28;\r
+input ldecac1;\r
+input gb6560e;\r
+output qi2b075;\r
+output tx_discfrm;\r
+output mec1d43;\r
+output xyea19;\r
+output ip750ca;\r
+output [uid1ce7-1:0] lsa8654;\r
+output ic432a3;\r
+output [uid1ce7-1:0] tj19519;\r
+output neca8cf;\r
+output xjec4b9;\r
+output iea33d5;\r
+output of653ad;\r
+output bycf546;\r
+output sh7aa33;\r
+output rgd519c;\r
+output twa8ce3;\r
+output qg4671a;\r
+output ep338d1;\r
+output fc9c68c;\r
+output hbe3463;\r
+output uk1a31e;\r
+output qgd18f0;\r
+output hq8c780;\r
+output jc63c02;\r
+output pu1e013;\r
+output cmf009a;\r
+output qv804d0;\r
+output [wj75b64-1:0] ep29d6d;\r
+output hd13425;\r
+output oh9a12e;\r
+output vid0974;\r
+output xl84ba2;\r
+output hq25d17;\r
+output sj12e78;\r
+output aa973c5;\r
+output nga2e8e;\r
+reg [4:0] pfd813d ;\r
+reg [4:0] hoc09ef;\r
+reg xjec4b9;\r
+reg mt236ce;\r
+reg je1b674;\r
+reg [uid1ce7-1:0] kdd9d10;\r
+reg [uid1ce7-1:0] pf74420;\r
+reg [uid1ce7-1:0] gq10832;\r
+reg [uid1ce7-1:0] lsa8654;\r
+reg qi2b075;\r
+reg lf32197;\r
+reg oh90cbf;\r
+reg ri865fc;\r
+reg ip750ca;\r
+reg ym97f1e;\r
+reg zzbf8f1;\r
+reg xjfc78e;\r
+reg [1:0] hbe3c76;\r
+reg [1:0] zm1e3b7;\r
+reg faf1dba;\r
+reg tj8edd3;\r
+reg mec1d43;\r
+reg xyea19;\r
+reg tx_discfrm;\r
+reg czd3c9f;\r
+reg fp9e4fd;\r
+reg czf27ee;\r
+reg ic432a3;\r
+reg iea33d5;\r
+reg vid0974;\r
+reg hd13425;\r
+reg oh9a12e;\r
+reg nga2e8e;\r
+reg [wj75b64-1:0] ep29d6d;\r
+reg cmf009a;\r
+reg pu1e013;\r
+reg qv804d0;\r
+reg of653ad;\r
+reg ld55e0b;\r
+reg [ldc74a7-1:0] go782f6;\r
+reg xl84ba2;\r
+reg hq25d17;\r
+reg sj12e78;\r
+reg rtf6015;\r
+reg lfb00af;\r
+reg kf8057e;\r
+reg aa973c5;\r
+reg [3:0] gq15fa4;\r
+reg hdafd20;\r
+reg fn7e907;\r
+reg [1:0] rgf483e;\r
+reg kfa41f3;\r
+reg xy20f9d;\r
+reg ux7cea;\r
+reg ec3e754;\r
+parameter pff3aa1 = 5'd0;\r
+parameter ou9d50e = 5'd1;\r
+parameter ykea871 = 5'd2;\r
+parameter kd54389 = 5'd3;\r
+parameter qva1c4d = 5'd4;\r
+parameter pue26c = 5'd5;\r
+parameter bl71363 = 5'd6;\r
+parameter hq89b1e = 5'd7;\r
+parameter ne4d8f3 = 5'd8;\r
+parameter jc6c79b = 5'd9;\r
+parameter tu63cdb = 5'd10;\r
+parameter ri1e6db = 5'd11;\r
+parameter vvf36da = 5'd12;\r
+parameter rv9b6d7 = 5'd13;\r
+parameter sudb6be = 5'd14;\r
+parameter aydb5f0 = 5'd15;\r
+parameter nedaf80 = 5'd16;\r
+parameter thd7c03 = 5'd17;\r
+parameter kfbe01f = 5'd18;\r
+parameter icf00fd = 5'd19;\r
+parameter mt807e8 = 5'd20;\r
+parameter vx3f44 = 5'd21;\r
+wire bycf546 = (pfd813d == pff3aa1) ? 1 : 0;\r
+wire sh7aa33 = (pfd813d == ou9d50e) ? 1 : 0;\r
+wire rgd519c = (pfd813d == ykea871) ? 1 : 0;\r
+wire twa8ce3 = (pfd813d == kd54389) ? 1 : 0;\r
+wire qg4671a = (pfd813d == qva1c4d) ? 1 : 0;\r
+wire fc9c68c = (pfd813d == pue26c) ? 1 : 0;\r
+wire ep338d1 = (pfd813d == bl71363) ? 1 : 0;\r
+wire hbe3463 = (pfd813d == hq89b1e) ? 1 : 0;\r
+wire uk1a31e = (pfd813d == ne4d8f3) ? 1 : 0;\r
+wire qgd18f0 = (pfd813d == jc6c79b) ? 1 : 0;\r
+wire qv90c78 = (pfd813d == tu63cdb) ? 1 : 0;\r
+wire hq8c780 = (pfd813d == ri1e6db) ? 1 : 0;\r
+wire sj23348 = (pfd813d == vvf36da) ? 1 : 0;\r
+wire by69136 = (pfd813d == rv9b6d7) ? 1 : 0;\r
+wire jc63c02 = (pfd813d == sudb6be) ? 1 : 0;\r
+wire rv9bb69 = (pfd813d == aydb5f0) ? 1 : 0;\r
+wire qg6d3f4 = (pfd813d == nedaf80) ? 1 : 0;\r
+wire zx7e856 = (pfd813d == thd7c03) ? 1 : 0;\r
+wire mgad95 = (go782f6 == pu3a53f);\r
+wire [uid1ce7-1:0] tj19519 = pf74420[7:0];\r
+wire neca8cf = tj8edd3;\r
+reg [2:0] xj4aae6;\r
+reg [5:0] jp55736;\r
+reg [7:0] irab9b7;\r
+reg kq5cdbd;\r
+reg rte6de8;\r
+reg cb36f47;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg pub7a3c;\r
+reg pubd1e2;\r
+reg uve8f12;\r
+reg zk47894;\r
+reg ux3c4a2;\r
+reg ipe2514;\r
+reg [wl17473 - 1 : 0] vx94525;\r
+reg [fpba39c - 1 : 0] ng1497b;\r
+reg [uid1ce7 - 1 : 0] tw25ed4;\r
+reg ng2f6a2;\r
+reg xj7b512;\r
+reg jcda897;\r
+reg kqd44bb;\r
+reg [uid1ce7 : 0] ir12eca;\r
+reg ym97655;\r
+reg uxbb2a8;\r
+reg [ph8e738 - 1 : 0] jccaa2d;\r
+reg bl5516a;\r
+reg vka8b57;\r
+reg [zk739c7 - 1 : 0] bn2d5d7;\r
+reg ip6aebf;\r
+reg lq575fd;\r
+reg vxbafea;\r
+reg rtd7f56;\r
+reg vxbfab7;\r
+reg qtfd5be;\r
+reg ayeadf4;\r
+reg [4 : 0] ww53ab0;\r
+reg [4 : 0] gq9d581;\r
+reg cbbe84a;\r
+reg tuf4254;\r
+reg [uid1ce7 - 1 : 0] rv9515;\r
+reg [uid1ce7 - 1 : 0] gb54576;\r
+reg [uid1ce7 - 1 : 0] ou15dab;\r
+reg sjaed58;\r
+reg ne76ac5;\r
+reg bnb562a;\r
+reg irab156;\r
+reg ho58ab4;\r
+reg rgc55a2;\r
+reg [1 : 0] hq2ad12;\r
+reg [1 : 0] cm56893;\r
+reg epb4499;\r
+reg fca24cc;\r
+reg gd12662;\r
+reg fc93314;\r
+reg xy998a2;\r
+reg qtcc512;\r
+reg [ldc74a7 - 1 : 0] ls144a1;\r
+reg xya250b;\r
+reg ux1285a;\r
+reg tj942d5;\r
+reg [3 : 0] kfa16af;\r
+reg xyb57d;\r
+reg nr5abeb;\r
+reg [1 : 0] rgd5f5f;\r
+reg rvafafa;\r
+reg ea7d7d7;\r
+reg jcebeb8;\r
+reg vv5f5c3;\r
+reg ykfae1e;\r
+reg pfd70f0;\r
+reg zmb8786;\r
+reg wjc3c32;\r
+reg vk1e194;\r
+reg [2 : 0] ykf0ca2;\r
+reg [5 : 0] ng86511;\r
+reg [7 : 0] hd3288b;\r
+reg fp9445e;\r
+reg baa22f7;\r
+reg zm117ba;\r
+reg [2047:0] ng1e1e0;\r
+wire [70:0] mef0f02;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam uk87811 = 71,gd3c088 = 32'hfdffd48b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin pfd813d <= pff3aa1; cb36f47 <= 0; pf74420 <= 0; oh90cbf <= 0; fp9e4fd <= 0; tj8edd3 <= 0; xjfc78e <= 0; zm1e3b7 <= 0; gq10832 <= 0; ri865fc <= 0; czf27ee <= 0; lsa8654 <= 0; ip750ca <= 0; ic432a3 <= 0; iea33d5 <= 0; end else if (txmac_clk_en) begin iea33d5 <= uxbb2a8 & (bycf546 | sh7aa33) ? 1'b1 : ~uxbb2a8 ? 1'b0 : iea33d5; pfd813d <= gq9d581; cb36f47 <= ym97655; pf74420 <= rv9515; oh90cbf <= sjaed58; fp9e4fd <= gd12662; tj8edd3 <= epb4499; xjfc78e <= ho58ab4; zm1e3b7 <= hq2ad12; ri865fc <= ne76ac5 | irab156; czf27ee <= fc93314; if (rgc55a2 == 1) begin case (cm56893) 3: begin gq10832 <= bn2d5d7[7:0]; end 2: begin gq10832 <= bn2d5d7[15:8]; end 1: begin gq10832 <= bn2d5d7[23:16]; end 0: begin gq10832 <= bn2d5d7[31:24]; end endcase end else begin gq10832 <= gb54576; end lsa8654 <= ou15dab; ip750ca <= bnb562a; ic432a3 <= xy998a2; end end always @ (ww53ab0 or jcda897 or tw25ed4 or ng2f6a2 or zm117ba or pub7a3c or zk47894 or pubd1e2 or vka8b57 or uxbb2a8 or lq575fd or vxbafea or bl5516a or aa973c5 or uve8f12 or cbbe84a or kqd44bb or ip6aebf or tj942d5 or ir12eca or ep29d6d or vxbfab7 or qtfd5be or rvafafa or tuf4254 or ec3e754 or ux7cea or nr5abeb or oh9a12e or hd13425 or ayeadf4 or ykf0ca2 or baa22f7 or hd3288b) begin xjec4b9 = 0; kdd9d10 = 0; qi2b075 = 0; lf32197 = 0; ym97f1e = 0; cmf009a = 0; faf1dba = 0; hbe3c76 = 0; zzbf8f1 = 0; xyea19 = 0; tx_discfrm = 0; czd3c9f = 0; pu1e013 = 0; qv804d0 = 0; ld55e0b = 0; of653ad = 0; mec1d43 = 0; hq25d17 = 0; sj12e78 = 0; hdafd20 = 0; xy20f9d = 0;\r
+ case (ww53ab0) pff3aa1: begin if(vka8b57) begin hoc09ef = ou9d50e; xjec4b9 = 1'b0; end else begin\r
+\r
+\r
+ if(aa973c5 && !vxbfab7 && uve8f12) begin if(jcda897) begin hoc09ef = aydb5f0; end else begin hoc09ef = pff3aa1; end xjec4b9 = 1'b0; sj12e78 = 1'b1; end else begin hoc09ef = pff3aa1; xjec4b9 = 1'b1; end\r
+\r
+\r
+ end end ou9d50e: begin\r
+\r
+\r
+ if(pub7a3c) begin if(uve8f12) begin if (jcda897) begin if(!aa973c5 || vxbfab7) begin hoc09ef = ykea871; end else begin hoc09ef = aydb5f0; end end else begin hoc09ef = ou9d50e; end end else begin if( (jcda897 && (!uxbb2a8 || zm117ba)) || (pubd1e2 && bl5516a) ) begin hoc09ef = ykea871; end else begin hoc09ef = ou9d50e; end end end else begin hoc09ef = ou9d50e; end \r
+\r
+\r
+\r
+ end \r
+\r
+\r
+ aydb5f0: begin if(aa973c5) begin hoc09ef = aydb5f0; ld55e0b = 1'b1; sj12e78 = 1'b1; end else begin hoc09ef = nedaf80; end end\r
+\r
+\r
+\r
+ nedaf80: begin if(vka8b57) begin hoc09ef = ykea871; xjec4b9 = 1'b0; end else begin\r
+\r
+\r
+ if(!aa973c5 || vxbfab7) begin hoc09ef = nedaf80; xjec4b9 = 1'b1; end else begin hoc09ef = aydb5f0; xjec4b9 = 1'b0; sj12e78 = 1'b1; end\r
+\r
+\r
+ end end\r
+ ykea871: begin kdd9d10 = zxd29fb; lf32197 = 1; if(ykf0ca2 == 0) begin hoc09ef = kd54389; end else begin hoc09ef = ykea871; end end kd54389: begin kdd9d10 = hd94fdf; lf32197 = 1;\r
+\r
+\r
+ if(uve8f12) begin if(tj942d5 || tuf4254) begin if(ep29d6d == 4'hf) hoc09ef = thd7c03; else begin hoc09ef = tu63cdb; end end else begin if(kqd44bb) begin hoc09ef = qva1c4d; end else begin pu1e013 = 1; hoc09ef = sudb6be; end end end else begin if(bl5516a && pubd1e2) hoc09ef = pue26c; else begin hoc09ef = qva1c4d; end end \r
+\r
+\r
+ end qva1c4d: begin qi2b075 = 1; lf32197 = 1'b1; kdd9d10 = tw25ed4[7:0]; faf1dba = 1;\r
+\r
+\r
+ if(qtfd5be) begin if(lq575fd) begin hoc09ef = bl71363; end else begin hoc09ef = ne4d8f3; end end else if(uve8f12) begin if(ip6aebf) begin cmf009a = 1'b1; end if(tj942d5 || cbbe84a) begin if(ip6aebf && (ep29d6d == 4'hf) ) begin hoc09ef = thd7c03; end else if(ip6aebf) begin hq25d17 = 1'b1; hoc09ef = tu63cdb; end else begin hoc09ef = jc6c79b; end end else if (ng2f6a2 && !zk47894) begin if(lq575fd && !vxbafea) begin hoc09ef = bl71363; end else begin hoc09ef = hq89b1e; end end else if (ng2f6a2 && zk47894) begin hoc09ef = kfbe01f; end else begin hoc09ef = qva1c4d; end end else begin if(ng2f6a2 && !zk47894) begin if(lq575fd && !vxbafea) begin hoc09ef = bl71363; end else begin hoc09ef = hq89b1e; end end else if(ng2f6a2 && zk47894) begin hoc09ef = kfbe01f; end else begin hoc09ef = qva1c4d; end end \r
+\r
+\r
+ end \r
+ pue26c: begin kdd9d10 = hd3288b; lf32197 = 1; faf1dba = 1; if(baa22f7) begin hoc09ef = hq89b1e; end else begin hoc09ef = pue26c; end end \r
+ bl71363: begin lf32197 = 1; faf1dba = 1; kdd9d10 = nga7efe; \r
+\r
+\r
+ if(uve8f12 && (tj942d5 || cbbe84a)) begin if(ep29d6d == 4'hf) begin hoc09ef = thd7c03; end else begin if(!qtfd5be) begin hq25d17 = 1'b1; hoc09ef = tu63cdb; end else begin hoc09ef = ne4d8f3; end end end else if(zk47894 && qtfd5be && ayeadf4) begin hoc09ef = jc6c79b; end else if(vxbafea) begin if(qtfd5be) begin hoc09ef = ne4d8f3; end else begin hoc09ef = hq89b1e; end end else begin hoc09ef = bl71363; end\r
+\r
+\r
+ end hq89b1e: begin lf32197 = 1; zzbf8f1 = 1; case (ykf0ca2[1:0]) 3: begin hbe3c76 = 2'h3; end 2: begin hbe3c76 = 2'h2; end 1: begin hbe3c76 = 2'h1; end 0: begin hbe3c76 = 2'h0; end endcase\r
+\r
+\r
+ if(uve8f12 && (tj942d5 || cbbe84a)) begin hoc09ef = jc6c79b; end else if (ykf0ca2[1:0] == 2'b00) begin hoc09ef = kfbe01f; end else begin hoc09ef = hq89b1e; end\r
+\r
+\r
+ end\r
+ kfbe01f: begin ym97f1e = 1;\r
+\r
+\r
+ if(uve8f12 && (tj942d5 || cbbe84a)) begin hoc09ef = icf00fd; xy20f9d = 1; end else begin hoc09ef = vx3f44; end \r
+\r
+\r
+ end \r
+ vx3f44: begin\r
+\r
+\r
+ if(uve8f12 && (tj942d5 || cbbe84a)) begin hoc09ef = icf00fd; xy20f9d = 1; ym97f1e = 1; end else begin xyea19 = 1; qv804d0 = 1; mec1d43 = 1; hoc09ef = pff3aa1; end \r
+\r
+\r
+ end \r
+\r
+\r
+\r
+ icf00fd: begin ym97f1e = 1; xy20f9d = 1; if(rvafafa) begin hoc09ef = mt807e8; end else begin hoc09ef = icf00fd; end end mt807e8: begin ym97f1e = 1; hoc09ef = pff3aa1; tx_discfrm = 1; mec1d43 = 1; qv804d0 = 1; end \r
+\r
+\r
+\r
+ ne4d8f3: begin lf32197 = 1; zzbf8f1 = 1; hbe3c76 = 2'h3; czd3c9f = 1; if (ykf0ca2[1:0] == 2'b01) begin hoc09ef = jc6c79b; end else begin hoc09ef = ne4d8f3; end end\r
+\r
+\r
+\r
+ thd7c03: begin lf32197 = 1; zzbf8f1 = 1; hbe3c76 = 2; czd3c9f = 0; hoc09ef = jc6c79b; end\r
+\r
+\r
+\r
+ jc6c79b: begin lf32197 = 1;\r
+\r
+\r
+ if(oh9a12e || hd13425) begin hdafd20 = 1; if(nr5abeb) begin czd3c9f = 0; tx_discfrm = 1; qv804d0 = 1; mec1d43 = 1; hoc09ef = pff3aa1; end else begin hoc09ef = jc6c79b; end end else begin zzbf8f1 = 1; hbe3c76 = 1; czd3c9f = 1; tx_discfrm = 1; qv804d0 = 1; mec1d43 = 1; hoc09ef = pff3aa1; end\r
+\r
+\r
+ end\r
+\r
+\r
+ tu63cdb: begin lf32197 = 1; hdafd20 = 1; if(nr5abeb) begin hoc09ef = ri1e6db; end else begin hoc09ef = tu63cdb; end end\r
+ ri1e6db: begin case ({ec3e754, ux7cea}) 2'b00: begin xjec4b9 = 1; of653ad = 1; hoc09ef = ri1e6db; end 2'b01: begin xjec4b9 = 1; of653ad = 0; hoc09ef = ri1e6db; end 2'b10: begin xjec4b9 = 0; of653ad = 1; hoc09ef = ri1e6db; end 2'b11: begin xjec4b9 = 0; of653ad = 0; if(aa973c5) begin hoc09ef = vvf36da; ld55e0b = 1; end else begin hoc09ef = ykea871; end end endcase end vvf36da: begin if(aa973c5) begin hoc09ef = vvf36da; ld55e0b = 1; end else begin hoc09ef = rv9b6d7; xjec4b9 = 1; end end\r
+\r
+\r
+\r
+ rv9b6d7: begin if(vka8b57) begin hoc09ef = ykea871; xjec4b9 = 0; end else begin\r
+\r
+\r
+ if(aa973c5 && !vxbfab7 && uve8f12) begin hoc09ef = vvf36da; xjec4b9 = 0; sj12e78 = 1; end else begin hoc09ef = rv9b6d7; xjec4b9 = 1; end\r
+\r
+\r
+ end end sudb6be: begin pu1e013 = 1; lf32197 = 1; kdd9d10 = ir12eca[7:0];\r
+\r
+\r
+ if(cbbe84a) begin if(ep29d6d == 4'hf) hoc09ef = thd7c03; else begin hoc09ef = tu63cdb; hq25d17 = 1'b1; end end else if(kqd44bb) begin pu1e013 = 0; if(ir12eca[8]) hoc09ef = bl71363; else begin hoc09ef = qva1c4d; end end else begin hoc09ef = sudb6be; end\r
+\r
+\r
+ end default: hoc09ef = pff3aa1;\r
+ endcase end \r
+\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin mt236ce <= 0; end else if (txmac_clk_en) begin if(tj942d5 && (rgd519c || twa8ce3 || qg4671a || ep338d1 || hbe3463 || jc63c02)) begin mt236ce <= 1; end else if(bycf546 || hq8c780) begin mt236ce <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin je1b674 <= 0; end else if (txmac_clk_en) begin if(tj942d5 && rgd519c) begin je1b674 <= 1; end else if(bycf546 || hq8c780) begin je1b674 <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin ep29d6d <= 4'h0; end else if (txmac_clk_en) begin if( nr5abeb ) begin ep29d6d <= ep29d6d + 4'h1; end else if(bycf546) begin ep29d6d <= 4'h0; end end end\r
+\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin hd13425 <= 0; end else if (txmac_clk_en) begin if(tj942d5 && !ip6aebf && uve8f12) begin hd13425 <= 1; end else if(bycf546) begin hd13425 <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin vid0974 <= 0; end else if (txmac_clk_en) begin if(pfd70f0 || vv5f5c3) begin vid0974 <= 1; end else if(bycf546) begin vid0974 <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin oh9a12e <= 0; end else if (txmac_clk_en) begin if(wjc3c32) begin oh9a12e <= 1; end else if(bycf546) begin oh9a12e <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin xl84ba2 <= 0; end else if (txmac_clk_en) begin if(vk1e194) begin xl84ba2 <= 1; end else if(bycf546) begin xl84ba2 <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin go782f6 <= 13'd0; end else if (txmac_clk_en) begin if(qtcc512) begin go782f6 <= ls144a1 + 13'd1; end else begin go782f6 <= 13'd0; end end end\r
+\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin nga2e8e <= 0; end else if (txmac_clk_en) begin if(uk1a31e) begin nga2e8e <= 1; end else if(bycf546) begin nga2e8e <= 0; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin xj4aae6 <= 0; end else if (txmac_clk_en) begin if ((sh7aa33) || (zmb8786) || (hq8c780) || (ykfae1e)) begin xj4aae6 <= 6; end else if ((qg4671a) || (fc9c68c) || (ep338d1)) begin xj4aae6 <= 3; end else if (ykf0ca2 != 0) begin xj4aae6 <= ykf0ca2 - 1; end end end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin irab9b7 <= 0; jp55736 <= 0; kq5cdbd <= 0; rte6de8 <= 0; end else if (txmac_clk_en) begin if (rgd519c) begin jp55736 <= 0; kq5cdbd <= 0; rte6de8 <= 0; end else begin jp55736 <= ng86511 + 1; if (ng86511 == 18) begin kq5cdbd <= 1; end if (ng86511 == 59) begin rte6de8 <= 1; end end case ({fp9445e,ng86511}) 0: begin irab9b7 <= vk3f7f3; end 1: begin irab9b7 <= tufbf9b; end 2: begin irab9b7 <= zkdfcda; end 3: begin irab9b7 <= jcfe6d3; end 4: begin irab9b7 <= dzf369b; end 5: begin irab9b7 <= db9b4df; end 6: begin irab9b7 <= vx94525[47:40]; end 7: begin irab9b7 <= vx94525[39:32]; end 8: begin irab9b7 <= vx94525[31:24]; end 9: begin irab9b7 <= vx94525[23:16]; end 10: begin irab9b7 <= vx94525[15:8]; end 11: begin irab9b7 <= vx94525[7:0]; end 12: begin irab9b7 <= lqda6fe; end 13: begin irab9b7 <= yxd37f2; end 14: begin irab9b7 <= ng1497b[15:8]; end 15: begin irab9b7 <= ng1497b[7:0]; end 16: begin irab9b7 <= jccaa2d[15:8]; end 17: begin irab9b7 <= jccaa2d[7:0]; end default: begin irab9b7 <= vx9bf94; end endcase end end\r
+\r
+\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin rgf483e <= 0; end else if (txmac_clk_en) begin if(ea7d7d7) begin rgf483e <= rgd5f5f + 1; end else begin rgf483e <= 0; end end end always @ (rgd5f5f) begin if(rgd5f5f == 2'b11) begin kfa41f3 = 1; end else begin kfa41f3 = 0; end end\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin gq15fa4 <= 4'h0; end else if (txmac_clk_en) begin if(xyb57d) begin gq15fa4 <= kfa16af + 4'h1; end else begin gq15fa4 <= 4'h0; end end end always @ (kfa16af) begin fn7e907 = (kfa16af == 4'h3) ? 1'b1 : 1'b0; end\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin rtf6015 <= 0; lfb00af <= 0; kf8057e <= 0; aa973c5 <= 0; end else if (txmac_clk_en) begin rtf6015 <= ipe2514; lfb00af <= ux3c4a2; kf8057e <= xya250b; aa973c5 <= ux1285a; end end \r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin ec3e754 = 0; end else if (txmac_clk_en) begin if(hq8c780 && vka8b57) begin ec3e754 = 1; end else if(rgd519c || vv5f5c3) begin ec3e754 = 0; end end end\r
+ always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin ux7cea = 0; end else if (txmac_clk_en) begin if(hq8c780 && rtd7f56) begin ux7cea = 1; end else if(rgd519c || vv5f5c3) begin ux7cea = 0; end end end\r
+\r
+\r
+\r
+\r
+always@* begin pub7a3c<=mef0f02[0];pubd1e2<=mef0f02[1];uve8f12<=mef0f02[2];zk47894<=mef0f02[3];ux3c4a2<=mef0f02[4];ipe2514<=mef0f02[5];vx94525<={nrcd3b1>>1,mef0f02[6]};ng1497b<={fa69d8e>>1,mef0f02[7]};tw25ed4<={kd4ec72>>1,mef0f02[8]};ng2f6a2<=mef0f02[9];xj7b512<=mef0f02[10];jcda897<=mef0f02[11];kqd44bb<=mef0f02[12];ir12eca<={jr94778>>1,mef0f02[13]};ym97655<=mef0f02[14];uxbb2a8<=mef0f02[15];jccaa2d<={ayef1cc>>1,mef0f02[16]};bl5516a<=mef0f02[17];vka8b57<=mef0f02[18];bn2d5d7<={gd3980f>>1,mef0f02[19]};ip6aebf<=mef0f02[20];lq575fd<=mef0f02[21];vxbafea<=mef0f02[22];rtd7f56<=mef0f02[23];vxbfab7<=mef0f02[24];qtfd5be<=mef0f02[25];ayeadf4<=mef0f02[26];ww53ab0<={pfd813d>>1,mef0f02[27]};gq9d581<={hoc09ef>>1,mef0f02[28]};cbbe84a<=mef0f02[29];tuf4254<=mef0f02[30];rv9515<={kdd9d10>>1,mef0f02[31]};gb54576<={pf74420>>1,mef0f02[32]};ou15dab<={gq10832>>1,mef0f02[33]};sjaed58<=mef0f02[34];ne76ac5<=mef0f02[35];bnb562a<=mef0f02[36];irab156<=mef0f02[37];ho58ab4<=mef0f02[38];rgc55a2<=mef0f02[39];hq2ad12<={hbe3c76>>1,mef0f02[40]};cm56893<={zm1e3b7>>1,mef0f02[41]};epb4499<=mef0f02[42];fca24cc<=mef0f02[43];gd12662<=mef0f02[44];fc93314<=mef0f02[45];xy998a2<=mef0f02[46];qtcc512<=mef0f02[47];ls144a1<={go782f6>>1,mef0f02[48]};xya250b<=mef0f02[49];ux1285a<=mef0f02[50];tj942d5<=mef0f02[51];kfa16af<={gq15fa4>>1,mef0f02[52]};xyb57d<=mef0f02[53];nr5abeb<=mef0f02[54];rgd5f5f<={rgf483e>>1,mef0f02[55]};rvafafa<=mef0f02[56];ea7d7d7<=mef0f02[57];jcebeb8<=mef0f02[58];vv5f5c3<=mef0f02[59];ykfae1e<=mef0f02[60];pfd70f0<=mef0f02[61];zmb8786<=mef0f02[62];wjc3c32<=mef0f02[63];vk1e194<=mef0f02[64];ykf0ca2<={xj4aae6>>1,mef0f02[65]};ng86511<={jp55736>>1,mef0f02[66]};hd3288b<={irab9b7>>1,mef0f02[67]};fp9445e<=mef0f02[68];baa22f7<=mef0f02[69];zm117ba<=mef0f02[70];end\r
+always@* begin ng1e1e0[2047]<=anaeeb9;ng1e1e0[2046]<=yk775cd;ng1e1e0[2044]<=phbae69;ng1e1e0[2040]<=vvd734e;ng1e1e0[2032]<=ukb9a76;ng1e1e0[2018]<=faf1dba;ng1e1e0[2017]<=nrcd3b1[0];ng1e1e0[1989]<=tj8edd3;ng1e1e0[1987]<=fa69d8e[0];ng1e1e0[1931]<=czd3c9f;ng1e1e0[1927]<=kd4ec72[0];ng1e1e0[1865]<=ayef1cc[0];ng1e1e0[1859]<=gq10832[0];ng1e1e0[1844]<=hdafd20;ng1e1e0[1815]<=fp9e4fd;ng1e1e0[1806]<=ho76394;ng1e1e0[1682]<=ui78e60;ng1e1e0[1674]<=qv90c78;ng1e1e0[1671]<=lf32197;ng1e1e0[1640]<=fn7e907;ng1e1e0[1582]<=czf27ee;ng1e1e0[1565]<=irb1ca3;ng1e1e0[1488]<=kdd9d10[0];ng1e1e0[1485]<=kf8057e;ng1e1e0[1326]<=gb6560e;ng1e1e0[1317]<=tucf141;ng1e1e0[1300]<=sj23348;ng1e1e0[1297]<=xj4aae6[0];ng1e1e0[1295]<=oh90cbf;ng1e1e0[1233]<=rgf483e[0];ng1e1e0[1210]<=hoc09ef[0];ng1e1e0[1189]<=cz4eb6c;ng1e1e0[1172]<=encc07d;ng1e1e0[1116]<=ld55e0b;ng1e1e0[1105]<=rv9bb69;ng1e1e0[1092]<=irab9b7[0];ng1e1e0[1087]<=ym97f1e;ng1e1e0[1082]<=tx_fifoavail;ng1e1e0[1023]<=gof5dd7;ng1e1e0[1009]<=zm1e3b7[0];ng1e1e0[932]<=sj1de39;ng1e1e0[929]<=pf74420[0];ng1e1e0[922]<=gq15fa4[0];ng1e1e0[837]<=xy20f9d;ng1e1e0[744]<=je1b674;ng1e1e0[742]<=lfb00af;ng1e1e0[663]<=ldecac1;ng1e1e0[648]<=mgad95;ng1e1e0[605]<=pfd813d[0];ng1e1e0[594]<=ng1f65;ng1e1e0[586]<=gd3980f[0];ng1e1e0[552]<=by69136;ng1e1e0[547]<=cb36f47;ng1e1e0[546]<=jp55736[0];ng1e1e0[543]<=ri865fc;ng1e1e0[504]<=hbe3c76[0];ng1e1e0[466]<=gqa3bc7;ng1e1e0[418]<=kfa41f3;ng1e1e0[372]<=mt236ce;ng1e1e0[371]<=rtf6015;ng1e1e0[331]<=ieb9e28;ng1e1e0[324]<=zx7e856;ng1e1e0[297]<=mr603ec;ng1e1e0[273]<=rte6de8;ng1e1e0[252]<=xjfc78e;ng1e1e0[233]<=jr94778[0];ng1e1e0[185]<=go782f6[0];ng1e1e0[162]<=qg6d3f4;ng1e1e0[136]<=kq5cdbd;ng1e1e0[126]<=zzbf8f1;ng1e1e0[116]<=os728ef;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module pf61d16 ( ph27329,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+\r
+ gof5dd7, \r
+ anaeeb9, \r
+ tx_sndpausreq, \r
+ tx_sndpaustim, \r
+ wyb3e52, \r
+ ux9f290, \r
+ tj19519, \r
+ ho76394, \r
+ by52133, \r
+ bycf546, \r
+ qg4671a, \r
+ ep338d1,\r
+ uk1a31e,\r
+ hbe3463,\r
+ hq8c780,\r
+ jc63c02, \r
+ fc9c68c,\r
+ nga2e8e,\r
+ qi2b075,\r
+ xyea19,\r
+ tx_discfrm,\r
+ mec1d43,\r
+ ep29d6d,\r
+ hd13425,\r
+ oh9a12e,\r
+ xjc8b1c,\r
+ vid0974,\r
+ gd2c73f, \r
+ vi639fd, \r
+ ui78e60, \r
+ ayef1cc, \r
+ sj1de39, \r
+ encc07d, \r
+ mr603ec, \r
+ ng1f65, \r
+ tx_statvec, \r
+ tx_staten, \r
+ tx_done, \r
+ qia3a3d, \r
+ gb6560e, \r
+ ldecac1 \r
+ );\r
+parameter ph8e738 = 16;\r
+parameter uid1ce7 = 8;\r
+parameter wj75b64 = 4;\r
+parameter ri9ce3a = 14;\r
+parameter ho6c5b3 = 31;\r
+input ph27329;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input gof5dd7;\r
+input anaeeb9;\r
+input tx_sndpausreq;\r
+input [ph8e738-1:0] tx_sndpaustim;\r
+input wyb3e52;\r
+input ux9f290;\r
+input [uid1ce7-1:0] tj19519;\r
+input ho76394;\r
+input by52133;\r
+input bycf546;\r
+input qg4671a;\r
+input ep338d1;\r
+input uk1a31e;\r
+input hbe3463;\r
+input hq8c780;\r
+input jc63c02;\r
+input fc9c68c;\r
+input nga2e8e;\r
+input qi2b075;\r
+input xyea19;\r
+input tx_discfrm;\r
+input mec1d43;\r
+input [wj75b64-1:0] ep29d6d;\r
+input hd13425;\r
+input oh9a12e;\r
+input xjc8b1c;\r
+input vid0974;\r
+output gd2c73f;\r
+output vi639fd;\r
+output ui78e60;\r
+output [ph8e738-1:0] ayef1cc;\r
+output sj1de39;\r
+output encc07d;\r
+output mr603ec;\r
+output ng1f65;\r
+output [ho6c5b3-1:0] tx_statvec;\r
+output tx_staten;\r
+output tx_done;\r
+output qia3a3d;\r
+output gb6560e;\r
+output ldecac1;\r
+reg [ho6c5b3-1:0] tx_statvec;\r
+reg ui78e60;\r
+reg [ph8e738-1:0] ayef1cc;\r
+reg sj1de39;\r
+reg ps5d2df;\r
+reg [ri9ce3a-1:0] uv4b7df;\r
+reg of5befd;\r
+reg [uid1ce7-1:0] fafbf6a;\r
+reg gd2c73f;\r
+reg vi639fd;\r
+reg shed49d ;\r
+reg of6a4ed ;\r
+reg tx_done ;\r
+reg qia3a3d;\r
+reg qi9db13 ;\r
+reg mred898;\r
+reg tx_staten ;\r
+reg yk62623;\r
+reg db13118;\r
+reg gq988c6;\r
+reg ldecac1;\r
+reg oh23180;\r
+reg an18c04;\r
+reg ofc6024;\r
+reg ng30125;\r
+reg an8092a;\r
+reg fc4955;\r
+reg sw24aab;\r
+reg fc25558;\r
+reg je2aac3;\r
+reg ng1f65;\r
+reg gb6560e;\r
+reg mr603ec;\r
+wire encc07d = mr603ec;\r
+wire bydf8eb;\r
+wire blfc75b = &tj19519;\r
+wire wl1d6f7 = ofc6024 & ng30125 & an8092a & fc4955 & sw24aab & fc25558;\r
+wire dz55311 = ~(|uv4b7df[13:6]);\r
+wire ww4c478 = ldecac1 | nga2e8e;\r
+wire [ho6c5b3-1:0] ww78015 = {dz55311, ep29d6d[3:0], oh9a12e, hd13425, xjc8b1c, vid0974, uv4b7df[13:0], gq988c6, oh23180 | je2aac3, ldecac1, bydf8eb, ww4c478, wl1d6f7, db13118 | an18c04, yk62623};\r
+wire je35187 = qg4671a | ep338d1 | fc9c68c;\r
+wire aa8748b = je35187 & (~(|uv4b7df[13:4])) & ~uv4b7df[3] & ~uv4b7df[2] & ~uv4b7df[1] & uv4b7df[0];\r
+wire gb5efc8 = je35187 & (~(|uv4b7df[13:4])) & ~uv4b7df[3] & ~uv4b7df[2] & uv4b7df[1] & ~uv4b7df[0];\r
+wire ui67dfc = je35187 & (~(|uv4b7df[13:4])) & ~uv4b7df[3] & ~uv4b7df[2] & uv4b7df[1] & uv4b7df[0];\r
+wire wj44d9d = je35187 & (~(|uv4b7df[13:4])) & ~uv4b7df[3] & uv4b7df[2] & ~uv4b7df[1] & ~uv4b7df[0];\r
+wire ww6d020 = je35187 & (~(|uv4b7df[13:4])) & ~uv4b7df[3] & uv4b7df[2] & ~uv4b7df[1] & uv4b7df[0];\r
+wire bl4f4d7 = je35187 & (~(|uv4b7df[13:4])) & ~uv4b7df[3] & uv4b7df[2] & uv4b7df[1] & ~uv4b7df[0];\r
+wire vvecbf5 = je35187 & (~(|uv4b7df[13:4])) & uv4b7df[3] & uv4b7df[2] & uv4b7df[1] & ~uv4b7df[0];\r
+wire yma3c6e = ~gq988c6 & ((|uv4b7df[13:11]) | (&uv4b7df[10:9]) | (uv4b7df[10] & uv4b7df[8] & (&uv4b7df[7:5]) & (uv4b7df[4] | (&uv4b7df[3:0]))));\r
+wire icced42 = (|uv4b7df[13:11]) | (&uv4b7df[10:9]) | (uv4b7df[10] & uv4b7df[8] & (&uv4b7df[7:4]) & ((|uv4b7df[3:2]) | (&uv4b7df[1:0])));\r
+reg pub7a3c;\r
+reg pubd1e2;\r
+reg end918e;\r
+reg [ph8e738 - 1 : 0] ay463af;\r
+reg ec31d79;\r
+reg lf8ebc9;\r
+reg [uid1ce7 - 1 : 0] dbaf275;\r
+reg ng2f6a2;\r
+reg alc9d72;\r
+reg kd4eb95;\r
+reg ip75cac;\r
+reg qvae565;\r
+reg su72b2c;\r
+reg oh95960;\r
+reg qiacb04;\r
+reg uv65820;\r
+reg wl2c104;\r
+reg uv60825;\r
+reg vk412c;\r
+reg ng20962;\r
+reg ri4b12;\r
+reg ls25893;\r
+reg [wj75b64 - 1 : 0] ay49c8f;\r
+reg an126a8;\r
+reg je93544;\r
+reg ep9aa22;\r
+reg xwd5115;\r
+reg pua88ab;\r
+reg [ri9ce3a - 1 : 0] vx22ad4;\r
+reg gq156a7;\r
+reg [uid1ce7 - 1 : 0] zx5a9cc;\r
+reg icd4e67;\r
+reg vka7338;\r
+reg ep399c2;\r
+reg ofcce15;\r
+reg qg670ad;\r
+reg rv38568;\r
+reg ldc2b46;\r
+reg ks15a36;\r
+reg lsad1b0;\r
+reg ic68d83;\r
+reg go46c18;\r
+reg yz360c0;\r
+reg phb0605;\r
+reg pu8302e;\r
+reg wl18173;\r
+reg lqc0b9d;\r
+reg ou5ceb;\r
+reg mg2e759;\r
+reg ne73ac8;\r
+reg ym9d642;\r
+reg byeb211;\r
+reg [ho6c5b3 - 1 : 0] jpc8451;\r
+reg zk4228e;\r
+reg xy11473;\r
+reg qv8a39b;\r
+reg ip51cdd;\r
+reg xl8e6ec;\r
+reg lq73767;\r
+reg ph9bb3b;\r
+reg jpdd9df;\r
+reg jpecefe;\r
+reg os677f6;\r
+reg [2047:0] ng1e1e0;\r
+wire [62:0] mef0f02;\r
+\r
+localparam uk87811 = 63,gd3c088 = 32'hfdffe44b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+ \r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin shed49d <= 1'b0; of6a4ed <= 1'b0; tx_done <= 1'b0; qia3a3d <= 1'b0; qi9db13 <= 1'b0; mred898 <= 1'b0; tx_staten <= 1'b0; end else if (txmac_clk_en) begin tx_done <= vka7338; of6a4ed <= icd4e67; shed49d <= ng20962; qia3a3d <= ri4b12; tx_staten <= ofcce15; mred898 <= ep399c2; qi9db13 <= ls25893; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin sj1de39 <= 1'b0; end else if (txmac_clk_en) begin if(lf8ebc9) begin sj1de39 <= 1'b0; end else if(pua88ab) begin sj1de39 <= pua88ab; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin uv4b7df <= 14'd0; ng1f65 <= 0; gb6560e <= 0; mr603ec <= 1; end else if (txmac_clk_en) begin if (vx22ad4[13:0] == 14'd58) begin ng1f65 <= 1; end else begin ng1f65 <= 0; end if (vx22ad4[13:0] == 14'd63) begin gb6560e <= 1; end else begin gb6560e <= 0; end if (ng1f65 == 1) begin mr603ec <= 0; end if(su72b2c) begin uv4b7df <= vx22ad4 + 14'd4; end else if(ip75cac || uv65820 || oh95960 || wl2c104 || qvae565) begin uv4b7df <= vx22ad4 + 14'd1; end else if(kd4eb95 || qiacb04) begin uv4b7df <= 14'd0; mr603ec <= 1; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin yk62623 <= 1'b0; db13118 <= 1'b0; end else if (txmac_clk_en) begin if (xy11473) begin if(dbaf275[0]) begin yk62623 <= 1'b0; db13118 <= 1'b1; end else begin yk62623 <= 1'b1; db13118 <= 1'b0; end end else if(kd4eb95) begin yk62623 <= 1'b0; db13118 <= 1'b0; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) ofc6024 <= 1'b0; else if (txmac_clk_en) begin if (xy11473) begin if(mg2e759) ofc6024 <= 1'b1; else ofc6024 <= 1'b0; end else if(kd4eb95) ofc6024 <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) ng30125 <= 1'b0; else if (txmac_clk_en) begin if (qv8a39b) begin if(mg2e759) ng30125 <= 1'b1; else ng30125 <= 1'b0; end else if(kd4eb95) ng30125 <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) an8092a <= 1'b0; else if (txmac_clk_en) begin if (ip51cdd) begin if(mg2e759) an8092a <= 1'b1; else an8092a <= 1'b0; end else if(kd4eb95) an8092a <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) fc4955 <= 1'b0; else if (txmac_clk_en) begin if (xl8e6ec) begin if(mg2e759) fc4955 <= 1'b1; else fc4955 <= 1'b0; end else if(kd4eb95) fc4955 <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) sw24aab <= 1'b0; else if (txmac_clk_en) begin if (lq73767) begin if(mg2e759) sw24aab <= 1'b1; else sw24aab <= 1'b0; end else if(kd4eb95) sw24aab <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) fc25558 <= 1'b0; else if (txmac_clk_en) begin if (ph9bb3b) begin if(mg2e759) fc25558 <= 1'b1; else fc25558 <= 1'b0; end else if(kd4eb95) fc25558 <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin gq988c6 <= 1'b0; je2aac3 <= 1'b0; end else if (txmac_clk_en) begin if(jpdd9df) begin if ((zx5a9cc == 8'h81) && (dbaf275 == 8'h00)) begin gq988c6 <= 1'b1; je2aac3 <= 1'b0; end else if ((zx5a9cc == 8'h88) && (dbaf275 == 8'h08)) begin gq988c6 <= 1'b0; je2aac3 <= 1'b1; end else begin gq988c6 <= 1'b0; je2aac3 <= 1'b0; end end else if(kd4eb95) begin gq988c6 <= 1'b0; je2aac3 <= 1'b0; end end end \r
+ assign bydf8eb = jpecefe | os677f6;\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) ldecac1 <= 1'b0; else if (txmac_clk_en) begin if(ip75cac && alc9d72 && !(gq156a7 || ng2f6a2)) begin ldecac1 <= 1'b1; end else if(kd4eb95) ldecac1 <= 1'b0; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) oh23180 <= 1'b0; else if (txmac_clk_en) begin if(wl2c104) oh23180 <= 1'b1; else if(kd4eb95) oh23180 <= 1'b0 ; end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) an18c04 <= 1'b0; else if (txmac_clk_en) begin if (oh95960 || su72b2c) begin an18c04 <= ks15a36; end end end \r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin gd2c73f <= 1'b0; vi639fd <= 1'b0; ps5d2df <= 1'b0; tx_statvec <= 31'd0; end else if (txmac_clk_en) begin gd2c73f <= pub7a3c; vi639fd <= pubd1e2; ps5d2df <= ec31d79; if (ls25893 == 1) begin tx_statvec <= jpc8451; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin of5befd <= 0; fafbf6a <= 0; end else if (txmac_clk_en) begin fafbf6a <= dbaf275; if(vk412c) begin of5befd <= ng2f6a2; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin ui78e60 <= 1'b0; ayef1cc <= 16'h0000; end else if (txmac_clk_en) begin if(wl2c104) ui78e60 <= 1'b0; else if(end918e) begin ui78e60 <= end918e; ayef1cc <= ay463af; end end end\r
+always@* begin pub7a3c<=mef0f02[0];pubd1e2<=mef0f02[1];end918e<=mef0f02[2];ay463af<={tx_sndpaustim>>1,mef0f02[3]};ec31d79<=mef0f02[4];lf8ebc9<=mef0f02[5];dbaf275<={tj19519>>1,mef0f02[6]};ng2f6a2<=mef0f02[7];alc9d72<=mef0f02[8];kd4eb95<=mef0f02[9];ip75cac<=mef0f02[10];qvae565<=mef0f02[11];su72b2c<=mef0f02[12];oh95960<=mef0f02[13];qiacb04<=mef0f02[14];uv65820<=mef0f02[15];wl2c104<=mef0f02[16];uv60825<=mef0f02[17];vk412c<=mef0f02[18];ng20962<=mef0f02[19];ri4b12<=mef0f02[20];ls25893<=mef0f02[21];ay49c8f<={ep29d6d>>1,mef0f02[22]};an126a8<=mef0f02[23];je93544<=mef0f02[24];ep9aa22<=mef0f02[25];xwd5115<=mef0f02[26];pua88ab<=mef0f02[27];vx22ad4<={uv4b7df>>1,mef0f02[28]};gq156a7<=mef0f02[29];zx5a9cc<={fafbf6a>>1,mef0f02[30]};icd4e67<=mef0f02[31];vka7338<=mef0f02[32];ep399c2<=mef0f02[33];ofcce15<=mef0f02[34];qg670ad<=mef0f02[35];rv38568<=mef0f02[36];ldc2b46<=mef0f02[37];ks15a36<=mef0f02[38];lsad1b0<=mef0f02[39];ic68d83<=mef0f02[40];go46c18<=mef0f02[41];yz360c0<=mef0f02[42];phb0605<=mef0f02[43];pu8302e<=mef0f02[44];wl18173<=mef0f02[45];lqc0b9d<=mef0f02[46];ou5ceb<=mef0f02[47];mg2e759<=mef0f02[48];ne73ac8<=mef0f02[49];ym9d642<=mef0f02[50];byeb211<=mef0f02[51];jpc8451<={ww78015>>1,mef0f02[52]};zk4228e<=mef0f02[53];xy11473<=mef0f02[54];qv8a39b<=mef0f02[55];ip51cdd<=mef0f02[56];xl8e6ec<=mef0f02[57];lq73767<=mef0f02[58];ph9bb3b<=mef0f02[59];jpdd9df<=mef0f02[60];jpecefe<=mef0f02[61];os677f6<=mef0f02[62];end\r
+always@* begin ng1e1e0[2047]<=anaeeb9;ng1e1e0[2046]<=tx_sndpausreq;ng1e1e0[2044]<=tx_sndpaustim[0];ng1e1e0[2040]<=wyb3e52;ng1e1e0[2033]<=ux9f290;ng1e1e0[2019]<=tj19519[0];ng1e1e0[1991]<=ho76394;ng1e1e0[1934]<=by52133;ng1e1e0[1929]<=bydf8eb;ng1e1e0[1898]<=jc63c02;ng1e1e0[1821]<=bycf546;ng1e1e0[1810]<=blfc75b;ng1e1e0[1749]<=fc9c68c;ng1e1e0[1707]<=xyea19;ng1e1e0[1666]<=uv4b7df[0];ng1e1e0[1630]<=ng30125;ng1e1e0[1595]<=qg4671a;ng1e1e0[1572]<=wl1d6f7;ng1e1e0[1506]<=fc25558;ng1e1e0[1450]<=nga2e8e;ng1e1e0[1440]<=vid0974;ng1e1e0[1384]<=oh9a12e;ng1e1e0[1370]<=ep29d6d[0];ng1e1e0[1366]<=tx_discfrm;ng1e1e0[1284]<=of5befd;ng1e1e0[1212]<=an8092a;ng1e1e0[1202]<=vvecbf5;ng1e1e0[1174]<=wj44d9d;ng1e1e0[1170]<=aa8748b;ng1e1e0[1142]<=ep338d1;ng1e1e0[1125]<=gq988c6;ng1e1e0[1097]<=dz55311;ng1e1e0[1041]<=shed49d;ng1e1e0[1023]<=gof5dd7;ng1e1e0[964]<=je2aac3;ng1e1e0[949]<=hq8c780;ng1e1e0[853]<=qi2b075;ng1e1e0[833]<=ps5d2df;ng1e1e0[815]<=ofc6024;ng1e1e0[753]<=sw24aab;ng1e1e0[720]<=xjc8b1c;ng1e1e0[714]<=icced42;ng1e1e0[692]<=hd13425;ng1e1e0[685]<=mec1d43;ng1e1e0[601]<=bl4f4d7;ng1e1e0[587]<=ui67dfc;ng1e1e0[585]<=je35187;ng1e1e0[562]<=db13118;ng1e1e0[520]<=fafbf6a[0];ng1e1e0[474]<=hbe3463;ng1e1e0[407]<=an18c04;ng1e1e0[376]<=fc4955;ng1e1e0[357]<=yma3c6e;ng1e1e0[300]<=ww6d020;ng1e1e0[293]<=gb5efc8;ng1e1e0[292]<=ww78015[0];ng1e1e0[281]<=yk62623;ng1e1e0[237]<=uk1a31e;ng1e1e0[203]<=oh23180;ng1e1e0[146]<=ww4c478;ng1e1e0[140]<=mred898;ng1e1e0[70]<=qi9db13;ng1e1e0[35]<=of6a4ed;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module su77480 ( ph27329,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+ yz803f5, \r
+ ls1fac, \r
+ oufd63, \r
+ ux9f290 \r
+ );\r
+parameter ph8e738 = 16;\r
+input ph27329;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input yz803f5;\r
+input ls1fac;\r
+input [ph8e738-1:0] oufd63;\r
+output ux9f290;\r
+reg [ph8e738-1:0] xl285a7;\r
+reg [5:0] by42d3f;\r
+reg pfd813d;\r
+reg hoc09ef;\r
+reg ux9f290;\r
+reg vk3f5eb;\r
+parameter bn27bdb = 1'b0;\r
+parameter fp3dedf = 1'b1;\r
+reg jebd6c7;\r
+reg xweb63a;\r
+reg [ph8e738 - 1 : 0] zxd8e94;\r
+reg [ph8e738 - 1 : 0] je3a537;\r
+reg [5 : 0] dzd29bb;\r
+reg ww53ab0;\r
+reg gq9d581;\r
+reg jr377f1;\r
+reg [2047:0] ng1e1e0;\r
+wire [7:0] mef0f02;\r
+\r
+localparam uk87811 = 8,gd3c088 = 32'hfdffd14b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin pfd813d <= bn27bdb; end else if (txmac_clk_en) begin pfd813d <= gq9d581; end end always @ (ww53ab0 or jebd6c7 or ux9f290) begin case(ww53ab0) bn27bdb: begin if(jebd6c7) begin hoc09ef = fp3dedf; end else begin hoc09ef = bn27bdb; end end fp3dedf: begin if(ux9f290) begin hoc09ef = bn27bdb; end else begin hoc09ef = fp3dedf; end end endcase end always @ (posedge ph27329 or negedge ir3994e) begin if (!ir3994e) begin xl285a7 <= 0; ux9f290 <= 0; end else if (txmac_clk_en) begin if (xweb63a == 1) begin xl285a7 <= zxd8e94; end else if(ww53ab0 == fp3dedf) begin if (jr377f1 == 1) begin xl285a7 <= je3a537 - 1; end end else begin xl285a7 <= zxd8e94; end if (je3a537 == 0) begin ux9f290 <= 1; end else begin ux9f290 <= 0; end end end always @ (posedge ph27329 or negedge ir3994e) begin if (!ir3994e) begin by42d3f <= 0; vk3f5eb <= 0; end else if (txmac_clk_en) begin if (jebd6c7 && !xweb63a) begin by42d3f <= dzd29bb + 1; end else begin by42d3f <= 0; end if ((dzd29bb == 6'h3e) && (!xweb63a)) begin vk3f5eb <= 1; end else begin vk3f5eb <= 0; end end end\r
+always@* begin jebd6c7<=mef0f02[0];xweb63a<=mef0f02[1];zxd8e94<={oufd63>>1,mef0f02[2]};je3a537<={xl285a7>>1,mef0f02[3]};dzd29bb<={by42d3f>>1,mef0f02[4]};ww53ab0<=mef0f02[5];gq9d581<=mef0f02[6];jr377f1<=mef0f02[7];end\r
+always@* begin ng1e1e0[2047]<=ls1fac;ng1e1e0[2046]<=oufd63[0];ng1e1e0[2044]<=xl285a7[0];ng1e1e0[2040]<=by42d3f[0];ng1e1e0[2033]<=pfd813d;ng1e1e0[2019]<=hoc09ef;ng1e1e0[1990]<=vk3f5eb;ng1e1e0[1023]<=yz803f5;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ntb5e33 (txmac_clk,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+ tx_discfrm,\r
+ tx_fifodata, \r
+ tx_fifoeof, \r
+ tx_fifoempty, \r
+ tx_fifoctrl, \r
+ tx_macread, \r
+ kd4ec72, \r
+ ho76394, \r
+ by52133, \r
+ gqa3bc7, \r
+ irb1ca3, \r
+ qi2b075 \r
+ );\r
+parameter uid1ce7 = 8;\r
+input txmac_clk;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input tx_discfrm;\r
+input [uid1ce7-1:0] tx_fifodata;\r
+input tx_fifoeof;\r
+input tx_fifoempty;\r
+input tx_fifoctrl;\r
+output tx_macread;\r
+output [uid1ce7-1:0] kd4ec72;\r
+output ho76394;\r
+output by52133;\r
+output gqa3bc7;\r
+output irb1ca3;\r
+input qi2b075;\r
+reg [uid1ce7+2:0] ym9de6f;\r
+reg [uid1ce7+2:0] ps79bc0;\r
+reg [uid1ce7+2:0] cz6f01f;\r
+reg [uid1ce7+2:0] fac07e0;\r
+reg [uid1ce7+2:0] gq1f816;\r
+reg [uid1ce7+2:0] sue058e;\r
+reg [uid1ce7+2:0] fp1638c;\r
+reg [uid1ce7+2:0] ph8e335;\r
+reg [uid1ce7+2:0] ls8cd7f;\r
+reg [uid1ce7+2:0] ri35fdb;\r
+reg [uid1ce7+2:0] kd7f6df;\r
+reg [uid1ce7+2:0] aydb7eb;\r
+reg [uid1ce7+2:0] jcdfac1;\r
+reg [uid1ce7+2:0] vieb05c;\r
+reg [uid1ce7+2:0] uic1713;\r
+reg fcb898;\r
+reg su5c4c2;\r
+wire [uid1ce7-1:0] kd4ec72;\r
+wire tx_macread;\r
+wire ho76394;\r
+wire by52133;\r
+wire gqa3bc7;\r
+wire irb1ca3;\r
+reg ri4b12;\r
+reg [uid1ce7 - 1 : 0] al7a68d;\r
+reg ipd346d;\r
+reg wl9a36c;\r
+reg god1b64;\r
+reg vk412c;\r
+reg [uid1ce7 + 2 : 0] dm6c808;\r
+reg [uid1ce7 + 2 : 0] hq20217;\r
+reg [uid1ce7 + 2 : 0] ux85da;\r
+reg [uid1ce7 + 2 : 0] wl176a2;\r
+reg [uid1ce7 + 2 : 0] neda8a5;\r
+reg [uid1ce7 + 2 : 0] lsa2959;\r
+reg [uid1ce7 + 2 : 0] zza565a;\r
+reg [uid1ce7 + 2 : 0] me596b8;\r
+reg [uid1ce7 + 2 : 0] xj5ae09;\r
+reg [uid1ce7 + 2 : 0] vkb827e;\r
+reg [uid1ce7 + 2 : 0] lf9f90;\r
+reg [uid1ce7 + 2 : 0] th7e43e;\r
+reg [uid1ce7 + 2 : 0] kf90fbd;\r
+reg [uid1ce7 + 2 : 0] co3ef60;\r
+reg [uid1ce7 + 2 : 0] dobd804;\r
+reg hbec023;\r
+reg en6011f;\r
+reg [2047:0] ng1e1e0;\r
+wire [22:0] mef0f02;\r
+\r
+localparam uk87811 = 23,gd3c088 = 32'hfdffd48b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+assign tx_macread = hbec023 & ~wl9a36c;\r
+assign kd4ec72 = dm6c808[uid1ce7-1:0];\r
+assign ho76394 = dm6c808[uid1ce7];\r
+assign by52133 = ~(hq20217[uid1ce7+2] | dobd804[uid1ce7+2]);\r
+assign gqa3bc7 = dm6c808[uid1ce7+1];\r
+assign irb1ca3 = dm6c808[uid1ce7+2];\r
+always @ (dm6c808 or hq20217 or ux85da or wl176a2 or neda8a5 or lsa2959 or zza565a or dobd804 or vk412c) begin casex ({zza565a[uid1ce7+2],lsa2959[uid1ce7+2], neda8a5[uid1ce7+2],wl176a2[uid1ce7+2], ux85da[uid1ce7+2],hq20217[uid1ce7+2], dm6c808[uid1ce7+2],vk412c}) 8'bxxxxxx0x, 8'bxxxxx011: begin ph8e335 = dobd804; ls8cd7f = ux85da; ri35fdb = wl176a2; kd7f6df = neda8a5; aydb7eb = lsa2959; jcdfac1 = zza565a; vieb05c = 11'h000; end 8'bxxxxx010, 8'bxxxx0111: begin ph8e335 = hq20217; ls8cd7f = dobd804; ri35fdb = wl176a2; kd7f6df = neda8a5; aydb7eb = lsa2959; jcdfac1 = zza565a; vieb05c = 11'h000; end 8'bxxxx0110, 8'bxxx01111: begin ph8e335 = hq20217; ls8cd7f = ux85da; ri35fdb = dobd804; kd7f6df = neda8a5; aydb7eb = lsa2959; jcdfac1 = zza565a; vieb05c = 11'h000; end 8'bxxx01110, 8'bxx011111: begin ph8e335 = hq20217; ls8cd7f = ux85da; ri35fdb = wl176a2; kd7f6df = dobd804; aydb7eb = lsa2959; jcdfac1 = zza565a; vieb05c = 11'h000; end 8'bxx011110, 8'bx0111111: begin ph8e335 = hq20217; ls8cd7f = ux85da; ri35fdb = wl176a2; kd7f6df = neda8a5; aydb7eb = dobd804; jcdfac1 = zza565a; vieb05c = 11'h000; end 8'bx0111110, 8'b01111111: begin ph8e335 = hq20217; ls8cd7f = ux85da; ri35fdb = wl176a2; kd7f6df = neda8a5; aydb7eb = lsa2959; jcdfac1 = dobd804; vieb05c = 11'h000; end 8'bx1111110, 8'b11111111: begin ph8e335 = hq20217; ls8cd7f = ux85da; ri35fdb = wl176a2; kd7f6df = neda8a5; aydb7eb = lsa2959; jcdfac1 = zza565a; vieb05c = dobd804; end endcase\r
+end always @ (posedge txmac_clk or negedge ir3994e) begin if(!ir3994e) begin ym9de6f <= 11'h000; ps79bc0 <= 11'h000; cz6f01f <= 11'h000; fac07e0 <= 11'h000; gq1f816 <= 11'h000; sue058e <= 11'h000; fp1638c <= 11'h000; uic1713 <= 11'h000; fcb898 <= 0; su5c4c2 <= 0; end else if (txmac_clk_en) begin uic1713 <= {en6011f, god1b64, ipd346d, al7a68d}; if ((dm6c808[uid1ce7+2] == 0) || (vk412c == 1)) begin ym9de6f <= me596b8; end if ((hq20217[uid1ce7+2] == 0) || (vk412c == 1)) begin ps79bc0 <= xj5ae09; end if ((ux85da[uid1ce7+2] == 0) || (vk412c == 1)) begin cz6f01f <= vkb827e; end if ((wl176a2[uid1ce7+2] == 0) || (vk412c == 1)) begin fac07e0 <= lf9f90; end if ((neda8a5[uid1ce7+2] == 0) || (vk412c == 1)) begin gq1f816 <= th7e43e; end if ((lsa2959[uid1ce7+2] == 0) || (vk412c == 1)) begin sue058e <= kf90fbd; end if ((zza565a[uid1ce7+2] == 0) || (vk412c == 1)) begin fp1638c <= co3ef60; end case (hbec023) 1: begin if ((wl176a2[uid1ce7+2] == 1) || (neda8a5[uid1ce7+2] == 1) || (lsa2959[uid1ce7+2] == 1) || (zza565a[uid1ce7+2] == 1)) begin fcb898 <= 0; end end 0: begin if ((neda8a5[uid1ce7+2] == 0) && (lsa2959[uid1ce7+2] == 0) && (zza565a[uid1ce7+2] == 0)) begin fcb898 <= 1; end end endcase su5c4c2 <= tx_macread; if (ri4b12 == 1) begin uic1713[uid1ce7+2] <= 0; fp1638c[uid1ce7+2] <= 0; sue058e[uid1ce7+2] <= 0; gq1f816[uid1ce7+2] <= 0; fac07e0[uid1ce7+2] <= 0; cz6f01f[uid1ce7+2] <= 0; ps79bc0[uid1ce7+2] <= 0; ym9de6f[uid1ce7+2] <= 0; su5c4c2 <= 0; fcb898 <= 0; end end end\r
+always@* begin ri4b12<=mef0f02[0];al7a68d<={tx_fifodata>>1,mef0f02[1]};ipd346d<=mef0f02[2];wl9a36c<=mef0f02[3];god1b64<=mef0f02[4];vk412c<=mef0f02[5];dm6c808<={ym9de6f>>1,mef0f02[6]};hq20217<={ps79bc0>>1,mef0f02[7]};ux85da<={cz6f01f>>1,mef0f02[8]};wl176a2<={fac07e0>>1,mef0f02[9]};neda8a5<={gq1f816>>1,mef0f02[10]};lsa2959<={sue058e>>1,mef0f02[11]};zza565a<={fp1638c>>1,mef0f02[12]};me596b8<={ph8e335>>1,mef0f02[13]};xj5ae09<={ls8cd7f>>1,mef0f02[14]};vkb827e<={ri35fdb>>1,mef0f02[15]};lf9f90<={kd7f6df>>1,mef0f02[16]};th7e43e<={aydb7eb>>1,mef0f02[17]};kf90fbd<={jcdfac1>>1,mef0f02[18]};co3ef60<={vieb05c>>1,mef0f02[19]};dobd804<={uic1713>>1,mef0f02[20]};hbec023<=mef0f02[21];en6011f<=mef0f02[22];end\r
+always@* begin ng1e1e0[2047]<=tx_fifodata[0];ng1e1e0[2046]<=tx_fifoeof;ng1e1e0[2044]<=tx_fifoempty;ng1e1e0[2040]<=tx_fifoctrl;ng1e1e0[2032]<=qi2b075;ng1e1e0[2017]<=ym9de6f[0];ng1e1e0[1987]<=ps79bc0[0];ng1e1e0[1927]<=cz6f01f[0];ng1e1e0[1865]<=kd7f6df[0];ng1e1e0[1806]<=fac07e0[0];ng1e1e0[1682]<=aydb7eb[0];ng1e1e0[1565]<=gq1f816[0];ng1e1e0[1317]<=jcdfac1[0];ng1e1e0[1172]<=uic1713[0];ng1e1e0[1082]<=sue058e[0];ng1e1e0[1023]<=tx_discfrm;ng1e1e0[932]<=ri35fdb[0];ng1e1e0[594]<=su5c4c2;ng1e1e0[586]<=vieb05c[0];ng1e1e0[466]<=ls8cd7f[0];ng1e1e0[297]<=fcb898;ng1e1e0[233]<=ph8e335[0];ng1e1e0[116]<=fp1638c[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ep1d3ac ( ph27329,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+\r
+ ksacba5, \r
+ yx65d28, \r
+ aa2e944, \r
+ bl74a21, \r
+\r
+ nta510f, \r
+ xl2887f, \r
+ yx443fe \r
+ );\r
+parameter ks21ff4 = 6;\r
+input ph27329;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input ksacba5;\r
+input yx65d28;\r
+input aa2e944;\r
+input bl74a21;\r
+output [ks21ff4-1:0] nta510f;\r
+output [ks21ff4-1:0] xl2887f;\r
+output yx443fe;\r
+reg [ks21ff4-1:0] nta510f;\r
+reg [ks21ff4-1:0] xl2887f;\r
+wire yx443fe = (nta510f == xl2887f);\r
+reg ho73d57;\r
+reg fp9eaba;\r
+reg eaf55d7;\r
+reg hdaaeb8;\r
+reg [2047:0] ng1e1e0;\r
+wire [3:0] mef0f02;\r
+\r
+localparam uk87811 = 4,gd3c088 = 32'hfdffd30b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+ always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin nta510f <= 5'd0; end else if (txmac_clk_en) begin if(ho73d57 || fp9eaba) begin nta510f <= 5'd0; end else if(eaf55d7) begin nta510f <= nta510f + 5'd1; end end end always @ (posedge ph27329 or negedge ir3994e) begin if(!ir3994e) begin xl2887f <= 5'd0; end else if (txmac_clk_en) begin if(ho73d57) begin xl2887f <= 5'd0; end else if(hdaaeb8) begin xl2887f <= xl2887f + 5'd1; end end end\r
+always@* begin ho73d57<=mef0f02[0];fp9eaba<=mef0f02[1];eaf55d7<=mef0f02[2];hdaaeb8<=mef0f02[3];end\r
+always@* begin ng1e1e0[2047]<=yx65d28;ng1e1e0[2046]<=aa2e944;ng1e1e0[2044]<=bl74a21;ng1e1e0[1023]<=ksacba5;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module uid1f98 ( txmac_clk,\r
+ ir3994e,\r
+ txmac_clk_en,\r
+\r
+ \r
+ gof5dd7, \r
+ anaeeb9, \r
+ phbae69, \r
+ yk775cd, \r
+ vk3f2df, \r
+ vvf96fb, \r
+ fa69d8e, \r
+\r
+ \r
+ tx_fifodata, \r
+ tx_fifoeof, \r
+ tx_fifoempty, \r
+ tx_fifoavail, \r
+ tx_sndpaustim, \r
+ tx_sndpausreq, \r
+ tx_fifoctrl, \r
+\r
+ \r
+ zk520c4, \r
+ cb90622, \r
+\r
+ \r
+ ukb9a76, \r
+ vvd734e, \r
+\r
+ \r
+ suc455c, \r
+ \r
+ \r
+ tx_macread, \r
+ tx_discfrm, \r
+ tx_staten, \r
+ tx_statvec, \r
+ tx_done, \r
+\r
+ \r
+ ip750ca, \r
+ lsa8654, \r
+ ic432a3 \r
+ );\r
+parameter pdevice_family = "XP2";\r
+parameter ea78a0b = 5;\r
+parameter wl17473 = 48;\r
+parameter fpba39c = 16;\r
+parameter uid1ce7 = 8;\r
+parameter ph8e738 = 16;\r
+parameter ho6c5b3 = 31;\r
+parameter zk739c7 = 32;\r
+parameter ri9ce3a = 14;\r
+parameter wj75b64 = 4;\r
+parameter ks21ff4 = 6;\r
+parameter xl38e94 = 22;\r
+parameter ldc74a7 = 13;\r
+parameter pu3a53f = 13'd6072;\r
+parameter zxd29fb = 8'h55;\r
+parameter hd94fdf = 8'hd5;\r
+parameter nga7efe = 8'h00;\r
+parameter vk3f7f3 = 8'h01;\r
+parameter tufbf9b = 8'h80;\r
+parameter zkdfcda = 8'hc2;\r
+parameter jcfe6d3 = 8'h00;\r
+parameter dzf369b = 8'h00;\r
+parameter db9b4df = 8'h01;\r
+parameter lqda6fe = 8'h88;\r
+parameter yxd37f2 = 8'h08;\r
+parameter vx9bf94 = 8'h00;\r
+input txmac_clk;\r
+input ir3994e;\r
+input txmac_clk_en;\r
+input gof5dd7;\r
+input anaeeb9;\r
+input phbae69;\r
+input yk775cd;\r
+input [ea78a0b-1:0] vk3f2df;\r
+input [wl17473-1:0] vvf96fb;\r
+input [fpba39c-1:0] fa69d8e;\r
+input [uid1ce7-1:0] tx_fifodata;\r
+input tx_fifoeof;\r
+input tx_fifoempty;\r
+input tx_fifoavail;\r
+input [ph8e738-1:0] tx_sndpaustim;\r
+input tx_sndpausreq;\r
+input tx_fifoctrl;\r
+input zk520c4;\r
+input [ph8e738-1:0] cb90622;\r
+input ukb9a76;\r
+input vvd734e;\r
+output suc455c;\r
+output tx_macread;\r
+output tx_discfrm;\r
+output tx_staten;\r
+output [ho6c5b3-1:0] tx_statvec;\r
+output tx_done;\r
+output ip750ca;\r
+output [uid1ce7-1:0] lsa8654;\r
+output ic432a3;\r
+wire [uid1ce7-1:0] kd4ec72;\r
+wire [ph8e738-1:0] ayef1cc;\r
+wire [zk739c7-1:0] gd3980f;\r
+wire encc07d;\r
+wire [uid1ce7-1:0] tj19519;\r
+wire [uid1ce7-1:0] lsa8654;\r
+wire [uid1ce7:0] jr94778;\r
+wire [wj75b64-1:0] ep29d6d;\r
+wire bycf546;\r
+wire sh7aa33;\r
+wire [ks21ff4-1:0] nr5a6ca;\r
+wire [ks21ff4-1:0] tj9b2b4;\r
+wire suc455c = bycf546 | sh7aa33;\r
+reg ohb4d51;\r
+reg pub7a3c;\r
+reg pubd1e2;\r
+reg zk47894;\r
+reg uve8f12;\r
+reg [ea78a0b - 1 : 0] xw695a0;\r
+reg [wl17473 - 1 : 0] cm5681e;\r
+reg [fpba39c - 1 : 0] ng1497b;\r
+reg [uid1ce7 - 1 : 0] al7a68d;\r
+reg ipd346d;\r
+reg wl9a36c;\r
+reg jcda897;\r
+reg [ph8e738 - 1 : 0] ay463af;\r
+reg end918e;\r
+reg god1b64;\r
+reg ipd9356;\r
+reg [ph8e738 - 1 : 0] ps4d5ad;\r
+reg ipe2514;\r
+reg ux3c4a2;\r
+reg [uid1ce7 - 1 : 0] tw25ed4;\r
+reg [ph8e738 - 1 : 0] jccaa2d;\r
+reg [zk739c7 - 1 : 0] bn2d5d7;\r
+reg ip6aebf;\r
+reg [uid1ce7 - 1 : 0] dbaf275;\r
+reg [uid1ce7 : 0] ir12eca;\r
+reg [wj75b64 - 1 : 0] ay49c8f;\r
+reg kd4eb95;\r
+reg lf81c3e;\r
+reg [ks21ff4 - 1 : 0] al70fbb;\r
+reg [ks21ff4 - 1 : 0] qi3eee8;\r
+reg [2047:0] ng1e1e0;\r
+wire [29:0] mef0f02;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam uk87811 = 30,gd3c088 = 32'hfdffca8b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+ntb5e33 #( .uid1ce7(uid1ce7) ) lfb036d ( .txmac_clk (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en), .tx_discfrm (qia3a3d), .tx_fifodata (al7a68d), .tx_fifoeof (ipd346d), .tx_fifoempty (wl9a36c), .tx_fifoctrl (god1b64), .tx_macread (tx_macread), .kd4ec72 (kd4ec72), .ho76394 (ho76394), .by52133 (by52133), .gqa3bc7 (gqa3bc7), .irb1ca3 (irb1ca3), .qi2b075 (qi2b075) );\r
+bn91cf5 #( .wl17473( wl17473), .fpba39c(fpba39c), .uid1ce7(uid1ce7), .ph8e738(ph8e738), .zk739c7(zk739c7), .ri9ce3a(ri9ce3a), .wj75b64(wj75b64), .xl38e94(xl38e94), .ldc74a7(ldc74a7), .pu3a53f(pu3a53f), .zxd29fb(zxd29fb), .hd94fdf(hd94fdf), .nga7efe(nga7efe), .vk3f7f3(vk3f7f3), .tufbf9b(tufbf9b), .zkdfcda(zkdfcda), .jcfe6d3(jcfe6d3), .dzf369b(dzf369b), .db9b4df(db9b4df), .lqda6fe(lqda6fe), .yxd37f2(yxd37f2), .vx9bf94(vx9bf94) ) ph813b7 ( .txmac_clk (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en), .gof5dd7 (gd2c73f), .anaeeb9 (vi639fd), .yk775cd (uve8f12), .phbae69 (zk47894), .vvd734e (ux3c4a2), .ukb9a76 (ipe2514), .nrcd3b1 (cm5681e), .fa69d8e (ng1497b), .kd4ec72 (tw25ed4), .ho76394 (ho76394), .irb1ca3 (irb1ca3), .tx_fifoavail (jcda897), .os728ef (os728ef), .jr94778 (ir12eca), .gqa3bc7 (gqa3bc7), .sj1de39 (sj1de39), .ayef1cc (jccaa2d), .ui78e60 (ui78e60), .tucf141 (tucf141), .gd3980f (bn2d5d7), .encc07d (ip6aebf), .mr603ec (mr603ec), .ng1f65 (ng1f65), .cz4eb6c (cz4eb6c), .ieb9e28 (ieb9e28), .gb6560e (gb6560e), .ldecac1 (ldecac1), .qi2b075 (qi2b075), .tx_discfrm (tx_discfrm), .mec1d43 (mec1d43), .xyea19 (xyea19), .ip750ca (ip750ca), .lsa8654 (lsa8654), .ic432a3 (ic432a3), .tj19519 (tj19519), .neca8cf (neca8cf), .xjec4b9 (xjec4b9), .iea33d5 (iea33d5), .of653ad (of653ad), .bycf546 (bycf546), .sh7aa33 (sh7aa33), .rgd519c (rgd519c), .twa8ce3 (twa8ce3), .qg4671a (qg4671a), .ep338d1 (ep338d1), .fc9c68c (fc9c68c), .hbe3463 (hbe3463), .uk1a31e (uk1a31e), .qgd18f0 (qgd18f0), .hq8c780 (hq8c780), .jc63c02 (jc63c02), .pu1e013 (pu1e013), .cmf009a (cmf009a), .qv804d0 (qv804d0), .ep29d6d (ep29d6d), .hd13425 (hd13425), .oh9a12e (oh9a12e), .vid0974 (vid0974), .xl84ba2 (xl84ba2), .hq25d17 (hq25d17), .sj12e78 (sj12e78), .aa973c5 (aa973c5), .nga2e8e (nga2e8e) );\r
+\r
+pf61d16 #( .ph8e738(ph8e738), .uid1ce7(uid1ce7), .wj75b64(wj75b64), .ri9ce3a(ri9ce3a), .ho6c5b3(ho6c5b3) ) hbc8756 ( .ph27329 (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en),\r
+ .gof5dd7 (pub7a3c), .anaeeb9 (pubd1e2), .tx_sndpausreq (end918e), .tx_sndpaustim (ay463af), .wyb3e52 (ipd9356), .ux9f290 (ux9f290), .hq8c780 (hq8c780), .ep29d6d (ay49c8f), .hd13425 (hd13425), .oh9a12e (oh9a12e), .xjc8b1c (xl84ba2), .vid0974 (vid0974), .nga2e8e (nga2e8e), .bycf546 (kd4eb95), .qg4671a (qg4671a), .jc63c02 (jc63c02), .ep338d1 (ep338d1), .uk1a31e (uk1a31e), .hbe3463 (hbe3463), .fc9c68c (fc9c68c), .qi2b075 (qi2b075), .xyea19 (xyea19), .tx_discfrm (tx_discfrm), .mec1d43 (mec1d43), .tj19519 (dbaf275), .ho76394 (ho76394), .by52133 (by52133), .gd2c73f (gd2c73f), .vi639fd (vi639fd), .ui78e60 (ui78e60), .ayef1cc (ayef1cc), .sj1de39 (sj1de39), .encc07d (encc07d), .mr603ec (mr603ec), .ng1f65 (ng1f65), .tx_statvec (tx_statvec), .tx_staten (tx_staten), .tx_done (tx_done), .qia3a3d (qia3a3d), .gb6560e (gb6560e), .ldecac1 (ldecac1) );\r
+osc4e65 #( .wj75b64(wj75b64) ) ls11f27 ( .ph27329 (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en), .of653ad (of653ad), .ep29d6d (ay49c8f), .cz4eb6c (cz4eb6c) );\r
+\r
+ng3abec #( .ea78a0b(ea78a0b) ) wy1f173 ( .ph27329 (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en), .ay625cf (xw695a0), .xjec4b9 (xjec4b9), .sj12e78 (sj12e78), .aa973c5 (aa973c5), .ieb9e28 (ieb9e28), .tucf141 (tucf141) );\r
+su77480 #( .ph8e738(ph8e738) ) jr22521 ( .ph27329 (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en), .yz803f5 (iea33d5), .ls1fac (ipd9356), .oufd63 (ps4d5ad), .ux9f290 (ux9f290) );\r
+mr7f553 byd04d0 ( .os627fb(gd3980f), .mrdcc5e (dbaf275), .hbe62f4 (neca8cf), .uv5e986 (kd4eb95), .vif4c35 (32'hffffffff), .oua61ab (txmac_clk), .vk86ac3 (ohb4d51), .txmac_clk_en (txmac_clk_en) ); ep1d3ac #( .ks21ff4(ks21ff4) ) dz5a743 ( .ph27329 (txmac_clk), .ir3994e (ohb4d51), .txmac_clk_en (txmac_clk_en), .ksacba5 (qv804d0), .yx65d28 (hq25d17), .aa2e944 (pu1e013), .bl74a21 (cmf009a), .nta510f (tj9b2b4), .xl2887f (nr5a6ca), .yx443fe (os728ef) );\r
+pmi_ram_dp #(.pmi_wr_addr_depth(64), .pmi_wr_addr_width(6), .pmi_wr_data_width(9), .pmi_rd_addr_depth(64), .pmi_rd_addr_width(6), .pmi_rd_data_width(9), .pmi_regmode("noreg"), .pmi_gsr("disable"), .pmi_resetmode("sync"), .pmi_init_file("none"), .pmi_init_file_format("binary"), .pmi_family(pdevice_family), .module_type("pmi_ram_dp") )\r
+thc75fb (.Data({ho76394, kd4ec72}), .WrAddress(nr5a6ca), .RdAddress(tj9b2b4), .WrClock(txmac_clk), .RdClock(txmac_clk), .WrClockEn(1'b1), .RdClockEn(txmac_clk_en), .WE(cmf009a), .Reset(1'b0), .Q(jr94778) );\r
+\r
+ always@* begin ohb4d51<=mef0f02[0];pub7a3c<=mef0f02[1];pubd1e2<=mef0f02[2];zk47894<=mef0f02[3];uve8f12<=mef0f02[4];xw695a0<={vk3f2df>>1,mef0f02[5]};cm5681e<={vvf96fb>>1,mef0f02[6]};ng1497b<={fa69d8e>>1,mef0f02[7]};al7a68d<={tx_fifodata>>1,mef0f02[8]};ipd346d<=mef0f02[9];wl9a36c<=mef0f02[10];jcda897<=mef0f02[11];ay463af<={tx_sndpaustim>>1,mef0f02[12]};end918e<=mef0f02[13];god1b64<=mef0f02[14];ipd9356<=mef0f02[15];ps4d5ad<={cb90622>>1,mef0f02[16]};ipe2514<=mef0f02[17];ux3c4a2<=mef0f02[18];tw25ed4<={kd4ec72>>1,mef0f02[19]};jccaa2d<={ayef1cc>>1,mef0f02[20]};bn2d5d7<={gd3980f>>1,mef0f02[21]};ip6aebf<=mef0f02[22];dbaf275<={tj19519>>1,mef0f02[23]};ir12eca<={jr94778>>1,mef0f02[24]};ay49c8f<={ep29d6d>>1,mef0f02[25]};kd4eb95<=mef0f02[26];lf81c3e<=mef0f02[27];al70fbb<={nr5a6ca>>1,mef0f02[28]};qi3eee8<={tj9b2b4>>1,mef0f02[29]};end\r
+always@* begin ng1e1e0[2047]<=gof5dd7;ng1e1e0[2046]<=anaeeb9;ng1e1e0[2044]<=phbae69;ng1e1e0[2040]<=yk775cd;ng1e1e0[2032]<=vk3f2df[0];ng1e1e0[2017]<=vvf96fb[0];ng1e1e0[1987]<=fa69d8e[0];ng1e1e0[1926]<=tx_fifodata[0];ng1e1e0[1804]<=tx_fifoeof;ng1e1e0[1803]<=tj19519[0];ng1e1e0[1560]<=tx_fifoempty;ng1e1e0[1558]<=jr94778[0];ng1e1e0[1550]<=cb90622[0];ng1e1e0[1072]<=tx_fifoavail;ng1e1e0[1069]<=ep29d6d[0];ng1e1e0[1052]<=ukb9a76;ng1e1e0[1023]<=ir3994e;ng1e1e0[901]<=encc07d;ng1e1e0[775]<=zk520c4;ng1e1e0[732]<=tj9b2b4[0];ng1e1e0[450]<=gd3980f[0];ng1e1e0[387]<=tx_fifoctrl;ng1e1e0[366]<=nr5a6ca[0];ng1e1e0[225]<=ayef1cc[0];ng1e1e0[193]<=tx_sndpausreq;ng1e1e0[183]<=sh7aa33;ng1e1e0[112]<=kd4ec72[0];ng1e1e0[96]<=tx_sndpaustim[0];ng1e1e0[91]<=bycf546;ng1e1e0[56]<=vvd734e;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module fp8b349(\r
+ \r
+ hclk, \r
+ reset_n, \r
+\r
+ \r
+ haddr, \r
+ hdatain, \r
+ hread_n, \r
+ hwrite_n, \r
+ hcs_n, \r
+\r
+ \r
+ hdataout, \r
+ hready_n, \r
+ hdataout_en_n, \r
+\r
+ \r
+ tx_en, \r
+ vvcda9b, \r
+ ui6d4df, \r
+ vk3f2df, \r
+\r
+ \r
+ wj6023b, \r
+ tu6c047, \r
+ bydf307, \r
+ go59b01, \r
+ vk14915, \r
+ ip60ebc, \r
+ ep75e4,\r
+ ls3af25,\r
+ pfd792a,\r
+ cobc957,\r
+ xwe4ab9,\r
+ tw255ca,\r
+ ri2ae53, \r
+ ale2922, \r
+ qgcb360, \r
+ ldcd808, \r
+ kq53683, \r
+\r
+ \r
+ ba25a85, \r
+ neda0c6, \r
+ ned0632, \r
+ yk775cd, \r
+\r
+ \r
+ aye5ebc, \r
+\r
+ \r
+ ene2fd7, \r
+ cb17ebd, \r
+ bldd558, \r
+ ykeaac7, \r
+ gq3baab \r
+);\r
+\r
+parameter oh29c1 = 8;\r
+\r
+parameter doa7062 = 8;\r
+parameter rv38312 = 15;\r
+parameter icc1896 = 15;\r
+parameter tjc4b1 = 15;\r
+parameter ay6258e = 8;\r
+parameter sj12c70 = 3;\r
+parameter ba96385 = 7;\r
+parameter sjb1c2a = 7;\r
+parameter tj8e155 = 15;\r
+parameter gb70aaf = 10;\r
+parameter an8557d = 4;\r
+parameter ba2abec = 10;\r
+parameter jp55f63 = 15;\r
+parameter bn1c73a = 8;\r
+parameter me7d8f7 = 47;\r
+input hclk;\r
+input reset_n;\r
+input [doa7062 - 1:0] haddr;\r
+input [oh29c1 - 1:0] hdatain;\r
+input hread_n;\r
+input hwrite_n;\r
+input hcs_n;\r
+output [oh29c1 - 1:0] hdataout;\r
+output hready_n;\r
+output hdataout_en_n;\r
+output yk775cd;\r
+output ale2922;\r
+output qgcb360;\r
+output [icc1896-2:0] ldcd808;\r
+output kq53683;\r
+output tx_en;\r
+output vvcda9b;\r
+output ui6d4df;\r
+output [an8557d:0] vk3f2df;\r
+input aye5ebc;\r
+input gq3baab;\r
+input [rv38312:0] ene2fd7;\r
+input cb17ebd;\r
+input [bn1c73a:0] bldd558;\r
+input ykeaac7;\r
+output wj6023b;\r
+output tu6c047;\r
+output [me7d8f7:0] neda0c6;\r
+output bydf307;\r
+output go59b01;\r
+output vk14915;\r
+output ned0632;\r
+output [sjb1c2a:0] ip60ebc;\r
+output [sjb1c2a:0] ep75e4;\r
+output [sjb1c2a:0] ls3af25;\r
+output [sjb1c2a:0] pfd792a;\r
+output [sjb1c2a:0] cobc957;\r
+output [sjb1c2a:0] xwe4ab9;\r
+output [sjb1c2a:0] tw255ca;\r
+output [sjb1c2a:0] ri2ae53;\r
+output [tjc4b1:0] ba25a85;\r
+\r
+reg xw734fa;\r
+reg gd9a7d3;\r
+reg kdd3e9c;\r
+reg qv9f4e5;\r
+reg [doa7062 - 1:0] kdd3961;\r
+reg [doa7062 - 1:0] cme586a;\r
+reg [doa7062 - 1:0] nr61a85;\r
+reg [doa7062 - 1:0] uv6a174;\r
+reg [tjc4b1:0] ba25a85;\r
+reg hready_n;\r
+reg [oh29c1 - 1:0] hdataout;\r
+reg hdataout_en_n;\r
+reg pu353e5;\r
+reg [oh29c1 - 1:0] fn4f950;\r
+reg [oh29c1 - 1:0] cme5433;\r
+reg [oh29c1 - 1:0] hb50cca;\r
+reg [oh29c1 - 1:0] gd332b3;\r
+reg [oh29c1 - 1:0] jccaceb;\r
+reg [1:0] yk5675a;\r
+reg swb3ad0;\r
+reg [ba96385:0] jceb401;\r
+reg [ba96385:0] tud0056;\r
+reg [ba96385:0] do159c;\r
+reg [ba96385:0] os56710;\r
+reg [ba96385:0] xy9c400;\r
+reg [ba96385:0] uk1001c;\r
+reg [ba96385:0] ou70c;\r
+reg [ba96385:0] nt1c306;\r
+reg rge1837;\r
+reg zzc1ba;\r
+reg [bn1c73a:0] db6eb4;\r
+reg [an8557d:0] ntbad37;\r
+reg [sj12c70:0] uxb4df5;\r
+reg [ay6258e:0] tj37d69;\r
+reg [icc1896:0] wwf5a74;\r
+reg [tj8e155:0] by69d0b;\r
+reg [tj8e155:0] nr742d4;\r
+reg [tj8e155:0] vkb535;\r
+wire [15:0] xj5a9ab;\r
+reg [rv38312:0] wya6af8;\r
+reg [rv38312:0] qvabe0a;\r
+reg zx5f055;\r
+reg gbf82ae;\r
+reg ykc1574;\r
+reg [oh29c1 - 1:0] jp55d00;\r
+reg epae806;\r
+reg hb74035;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg [doa7062 - 1 : 0] en51e07;\r
+reg [oh29c1 - 1 : 0] go781e5;\r
+reg zkc0f28;\r
+reg yz7943;\r
+reg mt3ca1c;\r
+reg yke50e5;\r
+reg [rv38312 : 0] cm4394f;\r
+reg tj1ca78;\r
+reg [bn1c73a : 0] ir29e31;\r
+reg jp4f18c;\r
+reg cm78c67;\r
+reg yxc6339;\r
+reg ou319cd;\r
+reg ls8ce69;\r
+reg su6734b;\r
+reg [doa7062 - 1 : 0] fncd2c5;\r
+reg [doa7062 - 1 : 0] wj4b173;\r
+reg [doa7062 - 1 : 0] lqc5cea;\r
+reg [doa7062 - 1 : 0] dm73a98;\r
+reg wy9d4c2;\r
+reg [oh29c1 - 1 : 0] ww53088;\r
+reg [oh29c1 - 1 : 0] jpc2201;\r
+reg [oh29c1 - 1 : 0] ec8807b;\r
+reg [oh29c1 - 1 : 0] ba1ec6;\r
+reg [oh29c1 - 1 : 0] dz7b199;\r
+reg [1 : 0] hbd8ccf;\r
+reg shc667e;\r
+reg [ba96385 : 0] hq99fad;\r
+reg [ba96385 : 0] nr7eb52;\r
+reg [ba96385 : 0] twad4b1;\r
+reg [ba96385 : 0] ps52c5c;\r
+reg [ba96385 : 0] irb171a;\r
+reg [ba96385 : 0] ea5c6a6;\r
+reg [ba96385 : 0] ie1a98e;\r
+reg [ba96385 : 0] yma6388;\r
+reg aa31c40;\r
+reg fp8e201;\r
+reg [bn1c73a : 0] qi8807e;\r
+reg [an8557d : 0] pu1f86;\r
+reg [sj12c70 : 0] ld7e198;\r
+reg [ay6258e : 0] ba86639;\r
+reg [icc1896 : 0] ks98e5d;\r
+reg [tj8e155 : 0] mt39747;\r
+reg [tj8e155 : 0] jc5d1e0;\r
+reg [tj8e155 : 0] ne4781c;\r
+reg [15 : 0] cb3c0e2;\r
+reg [rv38312 : 0] lf38a1;\r
+reg [rv38312 : 0] she2877;\r
+reg je143bd;\r
+reg ira1deb;\r
+reg doef5c;\r
+reg [oh29c1 - 1 : 0] pubd710;\r
+reg tueb882;\r
+reg ui5c413;\r
+reg [2047:0] ng1e1e0;\r
+wire [53:0] mef0f02;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam uk87811 = 54,gd3c088 = 32'hfdffe30b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+assign ip60ebc = hq99fad[7:0];\r
+assign ep75e4 = nr7eb52[7:0];\r
+assign ls3af25 = twad4b1[7:0];\r
+assign pfd792a = ps52c5c[7:0];\r
+assign cobc957 = irb171a[7:0];\r
+assign xwe4ab9 = ea5c6a6[7:0];\r
+assign tw255ca = ie1a98e[7:0];\r
+assign ri2ae53 = yma6388[7:0];\r
+assign tx_en = ld7e198[3];\r
+assign vvcda9b = ld7e198[1];\r
+assign tu6c047 = ld7e198[2];\r
+assign neda0c6 = {mt39747,jc5d1e0,ne4781c};\r
+assign vk14915 = ba86639[0];\r
+assign kq53683 = ba86639[1];\r
+assign ui6d4df = ba86639[2];\r
+assign go59b01 = ba86639[3];\r
+assign bydf307 = ba86639[4];\r
+assign wj6023b = ba86639[6];\r
+assign ale2922 = ba86639[7];\r
+assign qgcb360 = ba86639[8];\r
+assign ldcd808 = ks98e5d[13:0];\r
+assign vk3f2df = (pu1f86 < 4) ? 1 : pu1f86 - 3;\r
+assign xj5a9ab = {5'b00000,ou319cd,qi8807e[8:0],su6734b};\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ assign yk775cd = ld7e198[0] ? 1'b0 : ba86639[5]; assign ned0632 = ld7e198[0];\r
+\r
+\r
+\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin kdd3961 <= 8'h0; cme586a <= 8'h0; nr61a85 <= 8'h0; uv6a174 <= 8'h0; zx5f055 <= 1'b1; gbf82ae <= 1'b1; ykc1574 <= 1'b1; jp55d00 <= 0; end else begin kdd3961 <= en51e07; cme586a <= fncd2c5; nr61a85 <= wj4b173; uv6a174 <= lqc5cea; zx5f055 <= mt3ca1c; gbf82ae <= zkc0f28; ykc1574 <= yz7943; jp55d00 <= go781e5; end\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) xw734fa <= 1'b0; else xw734fa <= cm78c67;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) gd9a7d3 <= 1'b0; else gd9a7d3 <= yxc6339;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) kdd3e9c <= 1'b0; else kdd3e9c <= yke50e5;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) qv9f4e5 <= 1'b0; else qv9f4e5 <= ls8ce69;\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) rge1837 <= 1'b0; else rge1837 <= jp4f18c;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) zzc1ba <= 1'b0; else zzc1ba <= aa31c40;\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) db6eb4 <= 9'b000000000; else if (fp8e201) db6eb4 <= ir29e31;\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin wya6af8 <= 16'h00; end else if (tj1ca78) begin wya6af8 <= cm4394f; end\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin qvabe0a <= 16'h00; epae806 <= 1'b1; hb74035 <= 1'b1; end else begin qvabe0a <= lf38a1; epae806 <= wy9d4c2; hb74035 <= tueb882; end\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge hclk or negedge reset_n) if (!reset_n) begin\r
+ yk5675a <= 2'b00; jceb401 <= 8'h00; tud0056 <= 8'h00; do159c <= 8'h00; os56710 <= 8'h00; xy9c400 <= 8'h00; uk1001c <= 8'h00; ou70c <= 8'h00; nt1c306 <= 8'h00; ntbad37 <= 5'b01100; uxb4df5 <= 4'h0; tj37d69 <= 8'h0; wwf5a74 <= 16'd1518; by69d0b <= 16'h00; nr742d4 <= 16'h00; vkb535 <= 16'h00; hready_n <= 1'b1; ba25a85 <= 16'h0001; hdataout <= 0; hdataout_en_n <= 1; swb3ad0 <= 1; fn4f950 <= 0; cme5433 <= 0; hb50cca <= 0; gd332b3 <= 0; jccaceb <= 0; pu353e5 <= 1'b1; end else begin hdataout <= ww53088; hdataout_en_n <= shc667e; hready_n <= wy9d4c2; if (!wy9d4c2 || !tueb882) begin pu353e5 <= 1'b1; swb3ad0 <= 1'b1; end else if (!mt3ca1c && !je143bd && !yz7943 && !doef5c && wy9d4c2 && ui5c413 && tueb882) begin pu353e5 <= 1'b0; if (fncd2c5 == 8'h00) begin uxb4df5 <= pubd710; end else if (!ld7e198[3] && !ld7e198[2]) begin case(fncd2c5) 8'h02 : tj37d69[7:0] <= pubd710[7:0]; 8'h04 : wwf5a74[7:0] <= pubd710; 8'h08 : ntbad37[4:0] <= pubd710; 8'h0A : by69d0b[7:0] <= pubd710; 8'h0C : nr742d4[7:0] <= pubd710; 8'h0E : vkb535[7:0] <= pubd710; 8'h22 : jceb401[7:0] <= pubd710; 8'h24 : tud0056[7:0] <= pubd710; 8'h26 : do159c[7:0] <= pubd710; 8'h28 : os56710[7:0] <= pubd710; 8'h2A : xy9c400[7:0] <= pubd710; 8'h2C : uk1001c[7:0] <= pubd710; 8'h2E : ou70c[7:0] <= pubd710; 8'h30 : nt1c306[7:0] <= pubd710; 8'h34 : ba25a85[7:0] <= pubd710; 8'h03 : tj37d69[8] <= pubd710[0]; 8'h05 : wwf5a74[15:8] <= pubd710; 8'h0B : by69d0b[15:8] <= pubd710; 8'h0D : nr742d4[15:8] <= pubd710; 8'h0F : vkb535[15:8] <= pubd710; 8'h35 : ba25a85[15:8] <= pubd710; default : uxb4df5 <= ld7e198; endcase end end else if (!mt3ca1c && !je143bd && !zkc0f28 && !ira1deb && wy9d4c2 && fncd2c5[0] && ui5c413) begin swb3ad0 <= 1'b0; pu353e5 <= 1'b0; case(fncd2c5) 8'h03 : fn4f950 <= {7'h0,ba86639[8]}; 8'h05 : fn4f950 <= ks98e5d[15:8]; 8'h0B : fn4f950 <= mt39747[15:8]; 8'h0D : fn4f950 <= jc5d1e0[15:8]; 8'h0F : fn4f950 <= ne4781c[15:8]; 8'h13 : fn4f950 <= cb3c0e2[15:8]; default : fn4f950 <= 8'h0; endcase end else if (!mt3ca1c && !je143bd && !zkc0f28 && !ira1deb && wy9d4c2 && !fncd2c5[0] && ui5c413) begin swb3ad0 <= 1'b0; pu353e5 <= 1'b0; case(fncd2c5) 8'h00 : fn4f950 <= {4'b0000,ld7e198};\r
+\r
+\r
+ 8'h02 : fn4f950 <= ba86639[7:0];\r
+\r
+\r
+ 8'h04 : fn4f950 <= ks98e5d[7:0]; 8'h08 : fn4f950 <= {3'b000,pu1f86[4:0]}; 8'h0A : fn4f950 <= mt39747[7:0]; 8'h0C : fn4f950 <= jc5d1e0[7:0]; 8'h0E : fn4f950 <= ne4781c[7:0]; 8'h12 : fn4f950 <= cb3c0e2[7:0]; 8'h22 : fn4f950 <= hq99fad[7:0]; 8'h24 : fn4f950 <= nr7eb52[7:0]; 8'h26 : fn4f950 <= twad4b1[7:0]; 8'h28 : fn4f950 <= ps52c5c[7:0]; 8'h2A : fn4f950 <= irb171a[7:0]; 8'h2C : fn4f950 <= ea5c6a6[7:0]; 8'h2E : fn4f950 <= ie1a98e[7:0]; 8'h30 : fn4f950 <= yma6388[7:0]; 8'h32 : fn4f950 <= she2877[7:0]; 8'h34 : fn4f950 <= ba25a85[7:0]; default : fn4f950 <= 8'h0; endcase end end\r
+\r
+\r
+\r
+\r
+\r
+always@* begin en51e07<={haddr>>1,mef0f02[0]};go781e5<={hdatain>>1,mef0f02[1]};zkc0f28<=mef0f02[2];yz7943<=mef0f02[3];mt3ca1c<=mef0f02[4];yke50e5<=mef0f02[5];cm4394f<={ene2fd7>>1,mef0f02[6]};tj1ca78<=mef0f02[7];ir29e31<={bldd558>>1,mef0f02[8]};jp4f18c<=mef0f02[9];cm78c67<=mef0f02[10];yxc6339<=mef0f02[11];ou319cd<=mef0f02[12];ls8ce69<=mef0f02[13];su6734b<=mef0f02[14];fncd2c5<={kdd3961>>1,mef0f02[15]};wj4b173<={cme586a>>1,mef0f02[16]};lqc5cea<={nr61a85>>1,mef0f02[17]};dm73a98<={uv6a174>>1,mef0f02[18]};wy9d4c2<=mef0f02[19];ww53088<={fn4f950>>1,mef0f02[20]};jpc2201<={cme5433>>1,mef0f02[21]};ec8807b<={hb50cca>>1,mef0f02[22]};ba1ec6<={gd332b3>>1,mef0f02[23]};dz7b199<={jccaceb>>1,mef0f02[24]};hbd8ccf<={yk5675a>>1,mef0f02[25]};shc667e<=mef0f02[26];hq99fad<={jceb401>>1,mef0f02[27]};nr7eb52<={tud0056>>1,mef0f02[28]};twad4b1<={do159c>>1,mef0f02[29]};ps52c5c<={os56710>>1,mef0f02[30]};irb171a<={xy9c400>>1,mef0f02[31]};ea5c6a6<={uk1001c>>1,mef0f02[32]};ie1a98e<={ou70c>>1,mef0f02[33]};yma6388<={nt1c306>>1,mef0f02[34]};aa31c40<=mef0f02[35];fp8e201<=mef0f02[36];qi8807e<={db6eb4>>1,mef0f02[37]};pu1f86<={ntbad37>>1,mef0f02[38]};ld7e198<={uxb4df5>>1,mef0f02[39]};ba86639<={tj37d69>>1,mef0f02[40]};ks98e5d<={wwf5a74>>1,mef0f02[41]};mt39747<={by69d0b>>1,mef0f02[42]};jc5d1e0<={nr742d4>>1,mef0f02[43]};ne4781c<={vkb535>>1,mef0f02[44]};cb3c0e2<={xj5a9ab>>1,mef0f02[45]};lf38a1<={wya6af8>>1,mef0f02[46]};she2877<={qvabe0a>>1,mef0f02[47]};je143bd<=mef0f02[48];ira1deb<=mef0f02[49];doef5c<=mef0f02[50];pubd710<={jp55d00>>1,mef0f02[51]};tueb882<=mef0f02[52];ui5c413<=mef0f02[53];end\r
+always@* begin ng1e1e0[2047]<=hdatain[0];ng1e1e0[2046]<=hread_n;ng1e1e0[2044]<=hwrite_n;ng1e1e0[2040]<=hcs_n;ng1e1e0[2032]<=aye5ebc;ng1e1e0[2016]<=ene2fd7[0];ng1e1e0[1985]<=cb17ebd;ng1e1e0[1925]<=wwf5a74[0];ng1e1e0[1922]<=bldd558[0];ng1e1e0[1802]<=by69d0b[0];ng1e1e0[1796]<=ykeaac7;ng1e1e0[1556]<=nr742d4[0];ng1e1e0[1544]<=gq3baab;ng1e1e0[1505]<=uxb4df5[0];ng1e1e0[1324]<=gbf82ae;ng1e1e0[1316]<=jceb401[0];ng1e1e0[1200]<=jp55d00[0];ng1e1e0[1169]<=do159c[0];ng1e1e0[1163]<=uk1001c[0];ng1e1e0[1118]<=rge1837;ng1e1e0[1106]<=gd332b3[0];ng1e1e0[1065]<=vkb535[0];ng1e1e0[1041]<=nr61a85[0];ng1e1e0[1040]<=xw734fa;ng1e1e0[1023]<=haddr[0];ng1e1e0[962]<=tj37d69[0];ng1e1e0[752]<=ntbad37[0];ng1e1e0[707]<=hb74035;ng1e1e0[662]<=zx5f055;ng1e1e0[658]<=swb3ad0;ng1e1e0[600]<=ykc1574;ng1e1e0[584]<=tud0056[0];ng1e1e0[581]<=xy9c400[0];ng1e1e0[559]<=nt1c306[0];ng1e1e0[553]<=hb50cca[0];ng1e1e0[520]<=cme586a[0];ng1e1e0[376]<=db6eb4[0];ng1e1e0[353]<=epae806;ng1e1e0[331]<=qvabe0a[0];ng1e1e0[329]<=yk5675a[0];ng1e1e0[290]<=os56710[0];ng1e1e0[279]<=ou70c[0];ng1e1e0[276]<=cme5433[0];ng1e1e0[260]<=kdd3961[0];ng1e1e0[188]<=zzc1ba;ng1e1e0[165]<=wya6af8[0];ng1e1e0[164]<=jccaceb[0];ng1e1e0[138]<=fn4f950[0];ng1e1e0[130]<=qv9f4e5;ng1e1e0[82]<=xj5a9ab[0];ng1e1e0[69]<=pu353e5;ng1e1e0[65]<=kdd3e9c;ng1e1e0[34]<=uv6a174[0];ng1e1e0[32]<=gd9a7d3;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module mefacfd(\r
+gd18c9b, \r
+kdc64dc, \r
+jr326e5, \r
+xy9372f, \r
+nt9b97a, \r
+shdcbd7, \r
+reset_n, \r
+mdc, \r
+mdi, \r
+mdo, \r
+mdio_en \r
+);\r
+localparam bn22331 = 14'b00000000000001;\r
+localparam zm1198e = 14'b00000000000010;\r
+localparam ba8cc72 = 14'b00000000000100;\r
+localparam rg66393 = 14'b00000000001000;\r
+localparam wy31c9a = 14'b00000000010000;\r
+localparam zz8e4d1 = 14'b00000000100000;\r
+localparam ay7268f = 14'b00000001000000;\r
+localparam do93479 = 14'b00000010000000;\r
+localparam ir9a3ca = 14'b00000100000000;\r
+localparam ykd1e54 = 14'b00001000000000;\r
+localparam ux8f2a0 = 14'b00010000000000;\r
+localparam ne79505 = 14'b00100000000000;\r
+localparam dmca82a = 14'b01000000000000;\r
+localparam en54152 = 14'b10000000000000;\r
+parameter vxa0a95 = 10;\r
+parameter co54ae = 15;\r
+parameter ri2a570 = 4;\r
+parameter by52b82 = 2;\r
+parameter wl95c13 = 3;\r
+parameter epae09c = 13;\r
+input reset_n;\r
+input mdc;\r
+input [vxa0a95:0] gd18c9b;\r
+input [co54ae:0] kdc64dc;\r
+input xy9372f;\r
+input mdi;\r
+output mdo;\r
+output mdio_en;\r
+output shdcbd7;\r
+output nt9b97a;\r
+output [co54ae:0] jr326e5;\r
+reg [co54ae:0] jr326e5;\r
+reg nt9b97a;\r
+reg mdio_en;\r
+reg lf2de5b;\r
+reg mdo;\r
+reg [co54ae:0] iccb7a2;\r
+reg [co54ae:0] kqde88d;\r
+reg [co54ae:0] vka234a;\r
+reg [ri2a570:0] gq8d292;\r
+reg [by52b82:0] jp4a483;\r
+reg [by52b82:0] gq920fa;\r
+reg [wl95c13:0] je83e85;\r
+reg [wl95c13:0] qgfa150;\r
+reg [epae09c:0] yz8540a;\r
+reg [epae09c:0] hb502a2;\r
+reg tj81517;\r
+reg wya8be;\r
+reg al545f0;\r
+reg nga2f85;\r
+reg wl17c2b;\r
+reg shdcbd7;\r
+reg [vxa0a95 : 0] sj85769;\r
+reg [co54ae : 0] ho5da61;\r
+reg shed30a;\r
+reg ne69855;\r
+reg ui4c2aa;\r
+reg [co54ae : 0] ecaa87;\r
+reg [co54ae : 0] phaa1c7;\r
+reg [co54ae : 0] ie871f6;\r
+reg [ri2a570 : 0] fnc7d8f;\r
+reg [by52b82 : 0] nrf63f0;\r
+reg [by52b82 : 0] kf8fc3d;\r
+reg [wl95c13 : 0] ayf0f67;\r
+reg [wl95c13 : 0] tj3d9c8;\r
+reg [epae09c : 0] ic6723e;\r
+reg [epae09c : 0] ldc8f84;\r
+reg tu47c27;\r
+reg wy3e13a;\r
+reg ayf09d1;\r
+reg fp84e89;\r
+reg vx2744f;\r
+reg [2047:0] ng1e1e0;\r
+wire [19:0] mef0f02;\r
+\r
+localparam uk87811 = 20,gd3c088 = 32'hfdffd28b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin nga2f85 <= 1'b0; end else begin nga2f85 <= shed30a; end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin wl17c2b <= 1'b0; end else begin wl17c2b <= fp84e89; end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin al545f0 <= 1'b0; tj81517 <= 1'b0; wya8be <= 1'b0; vka234a <= 16'h0; kqde88d <= 16'h0; end else if (nt9b97a) begin al545f0 <= 1'b0; tj81517 <= 1'b0; wya8be <= 1'b0; end else if (vx2744f && !ayf09d1) begin al545f0 <= 1'b1; vka234a <= {3'b000,sj85769,2'b00}; kqde88d <= ho5da61; if (sj85769[10]) tj81517 <= 1'b1; else wya8be <= 1'b1; end\r
+\r
+\r
+always @(negedge mdc or negedge reset_n) begin if (!reset_n) begin mdo <= 1'b0; end else begin mdo <= ui4c2aa; end\r
+end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin gq8d292 <= 5'b00000; lf2de5b <= 1'b0; mdio_en <= 1'b0; nt9b97a <= 1'b0; jr326e5 <= 16'h0; iccb7a2 <= 16'h0; jp4a483 <= 3'b000; gq920fa <= 3'b110; je83e85 <= 4'b1011; qgfa150 <= 4'b1111; end else if (ic6723e == bn22331) begin nt9b97a <= 1'b0; gq8d292 <= 5'b00000; lf2de5b <= 1'b0; mdio_en <= 1'b0; jp4a483 <= 3'b000; gq920fa <= 3'b110; je83e85 <= 4'b1011; qgfa150 <= 4'b1111; end else if (ic6723e == zm1198e) begin gq8d292 <= fnc7d8f + 5'b00001; lf2de5b <= 1'b1; mdio_en <= 1'b1; end else if (ic6723e == rg66393) begin gq8d292 <= 5'b00000; lf2de5b <= 1'b1; mdio_en <= 1'b1; end else if (ic6723e == ba8cc72) begin gq8d292 <= 5'b00000; lf2de5b <= 1'b0; mdio_en <= 1'b1; end else if (ic6723e == ykd1e54) begin if (tu47c27) begin mdio_en <= 1'b1; lf2de5b <= 1'b1; end else begin mdio_en <= 1'b0; lf2de5b <= 1'b0; end end else if (ic6723e == wy31c9a) begin lf2de5b <= 1'b0; mdio_en <= 1'b1; end else if (ic6723e == zz8e4d1) begin lf2de5b <= 1'b1; mdio_en <= 1'b1; end else if (ic6723e == ir9a3ca) begin if (tu47c27) begin lf2de5b <= 1'b0; mdio_en <= 1'b1; end else lf2de5b <= 1'b0; end else if (ic6723e == ne79505) begin if (tu47c27) begin lf2de5b <= phaa1c7[tj3d9c8]; qgfa150 <= tj3d9c8 - 4'b0001; mdio_en <= 1'b1; end else begin lf2de5b <= 1'b0; mdio_en <= 1'b0; iccb7a2[tj3d9c8] <= ne69855; qgfa150 <= tj3d9c8 - 4'b0001; end gq8d292 <= fnc7d8f + 5'b00001; end else if (ic6723e == ay7268f) begin mdio_en <= 1'b1; lf2de5b <= ie871f6[ayf0f67]; je83e85 <= ayf0f67 - 4'b0001; gq8d292 <= fnc7d8f + 5'b00001; end else if (ic6723e == do93479) begin mdio_en <= 1'b1; lf2de5b <= ie871f6[kf8fc3d]; gq920fa <= kf8fc3d - 3'b001; jp4a483 <= nrf63f0 + 3'b001; gq8d292 <= 5'b00000; end else if (ic6723e == dmca82a) begin mdio_en <= 1'b0; lf2de5b <= 1'b0; gq8d292 <= 5'b00000; nt9b97a <= 1'b1; jr326e5 <= ecaa87; end else if (ic6723e == en54152) begin mdio_en <= 1'b0; lf2de5b <= 1'b0; gq8d292 <= 5'b00000; nt9b97a <= 1'b1; jr326e5 <= ecaa87; end\r
+\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin shdcbd7 <= 1'b1; end else if (ic6723e == bn22331 && !vx2744f) begin shdcbd7 <= 1'b1; end else begin shdcbd7 <= 1'b0; end\r
+\r
+always @(posedge mdc or negedge reset_n) if (!reset_n) begin yz8540a <= bn22331; end else begin yz8540a <= ldc8f84; end\r
+always @(ic6723e or tu47c27 or wy3e13a or fnc7d8f or nrf63f0) begin case(ic6723e) bn22331 : begin if (tu47c27 || wy3e13a) begin hb502a2 = zm1198e; end else begin hb502a2 = bn22331; end end zm1198e : begin if (fnc7d8f < 5'b11111) begin hb502a2 = zm1198e; end else begin hb502a2 = ba8cc72; end end ba8cc72 : begin hb502a2 = rg66393; end rg66393 : begin if (tu47c27) begin hb502a2 = wy31c9a; end else begin hb502a2 = zz8e4d1; end end wy31c9a : begin if (tu47c27) begin hb502a2 = zz8e4d1; end else begin hb502a2 = ay7268f; end end zz8e4d1 : begin if (wy3e13a) begin hb502a2 = wy31c9a; end else begin hb502a2 = ay7268f; end end ay7268f : begin if (fnc7d8f < 5'b00100) begin hb502a2 = ay7268f; end else begin hb502a2 = do93479; end end do93479 : begin if (nrf63f0 < 3'b100) begin hb502a2 = do93479; end else begin hb502a2 = ykd1e54; end end\r
+ ykd1e54 : begin hb502a2 = ir9a3ca; end ir9a3ca : begin if (tu47c27) hb502a2 = ne79505; else hb502a2 = ux8f2a0; end ux8f2a0 : begin hb502a2 = ne79505; end\r
+ ne79505 : begin if (fnc7d8f < 5'b01111) begin hb502a2 = ne79505; end else begin hb502a2 = dmca82a; end end dmca82a : begin hb502a2 = en54152; end\r
+ en54152 : begin hb502a2 = bn22331; end default : begin hb502a2 = bn22331; end endcase end\r
+always@* begin sj85769<={gd18c9b>>1,mef0f02[0]};ho5da61<={kdc64dc>>1,mef0f02[1]};shed30a<=mef0f02[2];ne69855<=mef0f02[3];ui4c2aa<=mef0f02[4];ecaa87<={iccb7a2>>1,mef0f02[5]};phaa1c7<={kqde88d>>1,mef0f02[6]};ie871f6<={vka234a>>1,mef0f02[7]};fnc7d8f<={gq8d292>>1,mef0f02[8]};nrf63f0<={jp4a483>>1,mef0f02[9]};kf8fc3d<={gq920fa>>1,mef0f02[10]};ayf0f67<={je83e85>>1,mef0f02[11]};tj3d9c8<={qgfa150>>1,mef0f02[12]};ic6723e<={yz8540a>>1,mef0f02[13]};ldc8f84<={hb502a2>>1,mef0f02[14]};tu47c27<=mef0f02[15];wy3e13a<=mef0f02[16];ayf09d1<=mef0f02[17];fp84e89<=mef0f02[18];vx2744f<=mef0f02[19];end\r
+always@* begin ng1e1e0[2047]<=kdc64dc[0];ng1e1e0[2046]<=xy9372f;ng1e1e0[2044]<=mdi;ng1e1e0[2040]<=lf2de5b;ng1e1e0[2032]<=iccb7a2[0];ng1e1e0[2017]<=kqde88d[0];ng1e1e0[1987]<=vka234a[0];ng1e1e0[1926]<=gq8d292[0];ng1e1e0[1804]<=jp4a483[0];ng1e1e0[1614]<=wya8be;ng1e1e0[1561]<=gq920fa[0];ng1e1e0[1180]<=al545f0;ng1e1e0[1074]<=je83e85[0];ng1e1e0[1023]<=gd18c9b[0];ng1e1e0[807]<=tj81517;ng1e1e0[625]<=wl17c2b;ng1e1e0[403]<=hb502a2[0];ng1e1e0[312]<=nga2f85;ng1e1e0[201]<=yz8540a[0];ng1e1e0[100]<=qgfa150[0];end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module ksbcf57(\r
+\r
+ \r
+txmac_clk, \r
+rxmac_clk, \r
+reset_n, \r
+rxmac_clk_en, \r
+txmac_clk_en, \r
+\r
+ \r
+fncdc51, \r
+zx6e28f, \r
+pf7147e, \r
+\r
+ \r
+lsb51f, \r
+pf616a3, \r
+ks10d54, \r
+fa6c2d4, \r
+ls86aa0, \r
+vv5a8fb, \r
+\r
+ \r
+ksac9b7, \r
+zx64db8, \r
+\r
+ crs, \r
+ col, \r
+\r
+\r
+ \r
+txd_pos, \r
+ \r
+ \r
+txd_neg, \r
+ \r
+tx_en, \r
+tx_er, \r
+\r
+\r
+ned0632, \r
+kq53683, \r
+\r
+ \r
+rx_dv_pos, \r
+rx_dv_neg, \r
+rx_er_pos, \r
+rx_er_neg, \r
+rxd_pos, \r
+rxd_neg \r
+);\r
+parameter wy9ddd9 = 8;\r
+parameter hoeeec8 = 8;\r
+parameter cm77643 = 4;\r
+input txmac_clk;\r
+input rxmac_clk;\r
+input reset_n;\r
+input rxmac_clk_en;\r
+input txmac_clk_en;\r
+input [wy9ddd9-1:0] fncdc51;\r
+input zx6e28f;\r
+input pf7147e;\r
+output lsb51f;\r
+output pf616a3;\r
+output ks10d54;\r
+output fa6c2d4;\r
+output [wy9ddd9-1:0] ls86aa0;\r
+output ksac9b7;\r
+output zx64db8;\r
+input ned0632;\r
+input kq53683;\r
+\r
+input col;\r
+input crs;\r
+\r
+output [7:0] txd_pos;\r
+output [3:0] txd_neg;\r
+output tx_en;\r
+output tx_er;\r
+output vv5a8fb;\r
+input rx_dv_pos;\r
+input rx_dv_neg;\r
+input rx_er_pos;\r
+input rx_er_neg;\r
+input [7:0] rxd_pos;\r
+input [3:0] rxd_neg;\r
+reg qv9f880;\r
+reg rtfc405;\r
+reg kde202e;\r
+reg lsb51f ;\r
+reg cb80ba1;\r
+reg uk5d0d;\r
+reg ie2e869 ;\r
+reg nr74349 ;\r
+reg ana1a4e ;\r
+reg pf616a3 ;\r
+reg tu693a4;\r
+reg ui49d23;\r
+reg [wy9ddd9-1:0] pf748cc;\r
+reg [wy9ddd9-1:0] an2333d;\r
+reg [wy9ddd9-1:0] kdccf66;\r
+reg [wy9ddd9-1:0] ls86aa0 ;\r
+reg [wy9ddd9-1:0] dm66a7e;\r
+reg [wy9ddd9-1:0] uka9f9a;\r
+reg fa6c2d4 ;\r
+reg pf7e686 ;\r
+reg zxf3437 ;\r
+reg wl9a1bf ;\r
+reg ks10d54 ;\r
+reg ng86fe2;\r
+reg db37f12;\r
+reg [7:0] txd_pos;\r
+reg [3:0] txd_neg;\r
+reg tx_en;\r
+reg tx_er;\r
+reg vk96da5;\r
+reg ymb6d2b;\r
+reg ieb6958;\r
+reg vv5a8fb ;\r
+reg xla5605;\r
+reg wy2b02f;\r
+reg [wy9ddd9-1:0] hoc0bc3;\r
+reg ie5e1b;\r
+reg ri2f0d9;\r
+reg ay786ca;\r
+reg enc3650;\r
+reg ls1b285;\r
+reg yxd942a;\r
+reg byca155;\r
+reg th50aab;\r
+reg [cm77643-1:0] xy2aaf4;\r
+reg dz557a3;\r
+reg [hoeeec8-1:0] sh5e8fd;\r
+reg [3:0] faf47ed;\r
+reg [3:0] mga3f6a;\r
+reg mg1fb51;\r
+reg kdfda89;\r
+reg kded44d;\r
+reg cz6a26d;\r
+reg mr5136c;\r
+reg fc89b65;\r
+reg xw4db2b;\r
+reg kq6d95b;\r
+reg xw6cad8;\r
+wire ksac9b7;\r
+wire zx64db8;\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg [wy9ddd9 - 1 : 0] fnd8544;\r
+reg hbc2a27;\r
+reg ec1513e;\r
+reg twa89f1;\r
+reg sh44f8a;\r
+reg vx27c55;\r
+reg gq3e2af;\r
+reg dmf1579;\r
+reg yz8abca;\r
+reg [7 : 0] rt55e54;\r
+reg [3 : 0] rvaf2a6;\r
+reg by79536;\r
+reg lqca9b2;\r
+reg qt54d92;\r
+reg gqa6c94;\r
+reg sj364a1;\r
+reg rib250d;\r
+reg ie9286b;\r
+reg bn94359;\r
+reg wla1acf;\r
+reg mtd67c;\r
+reg [wy9ddd9 - 1 : 0] me59f26;\r
+reg [wy9ddd9 - 1 : 0] tu7c982;\r
+reg [wy9ddd9 - 1 : 0] qv2609a;\r
+reg [wy9ddd9 - 1 : 0] ou826a2;\r
+reg [wy9ddd9 - 1 : 0] an9a88e;\r
+reg qgd4475;\r
+reg lsa23a9;\r
+reg ba11d4a;\r
+reg tj8ea52;\r
+reg cz75296;\r
+reg oua94b4;\r
+reg jp4a5a1;\r
+reg dm52d0f;\r
+reg ls9687b;\r
+reg yzb43db;\r
+reg [wy9ddd9 - 1 : 0] swf6e4;\r
+reg zx7b721;\r
+reg ykdb90f;\r
+reg czdc879;\r
+reg dme43cc;\r
+reg sw21e63;\r
+reg ecf318;\r
+reg ps798c1;\r
+reg yxcc60b;\r
+reg [cm77643 - 1 : 0] ir182e2;\r
+reg cmc1715;\r
+reg [hoeeec8 - 1 : 0] kq5c542;\r
+reg [3 : 0] uve2a11;\r
+reg [3 : 0] ym1508d;\r
+reg xya8469;\r
+reg by4234b;\r
+reg ng11a5b;\r
+reg ie8d2da;\r
+reg rg696d0;\r
+reg gb4b683;\r
+reg gb5b41d;\r
+reg jcda0ec;\r
+reg hod0762;\r
+reg [2047:0] ng1e1e0;\r
+wire [58:0] mef0f02;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam uk87811 = 59,gd3c088 = 32'hfdffc70b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+ assign zx64db8 = col; assign ksac9b7 = crs;\r
+\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin xy2aaf4 <= 4'b0000; dz557a3 <= 1'b1; end else if (rxmac_clk_en) begin if (!cmc1715 && !xya8469) begin if (ir182e2 < 4'b1011) begin xy2aaf4 <= ir182e2 + 4'b0001; end else begin xy2aaf4 <= 4'b0000; dz557a3 <= 1'b1; end end else if (by4234b) begin xy2aaf4 <= 4'b0000; dz557a3 <= 1'b0; end end\r
+end\r
+\r
+\r
+ always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin ls1b285 <= 1'b0; yxd942a <= 1'b0; end else if (rxmac_clk_en) begin ls1b285 <= 1'b1; yxd942a <= sw21e63; end end\r
+\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin byca155 <= 1'b0; th50aab <= 1'b0; end else if (rxmac_clk_en) begin byca155 <= sh44f8a; th50aab <= ps798c1; end\r
+end\r
+\r
+\r
+ always @(posedge txmac_clk or negedge reset_n) begin if (!reset_n) begin ay786ca <= 1'b0; enc3650 <= 1'b0; end else if (txmac_clk_en) begin ay786ca <= 1'b1; enc3650 <= czdc879; end end\r
+\r
+\r
+\r
+\r
+always @(negedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin kded44d <= 0; cz6a26d <= 0; fc89b65 <= 0; xw4db2b <= 0; faf47ed <= 0; mga3f6a <= 0; end else if (rxmac_clk_en) begin kded44d <= gq3e2af; cz6a26d <= ng11a5b; fc89b65 <= yz8abca; xw4db2b <= gb4b683; faf47ed <= rvaf2a6; mga3f6a <= uve2a11; end\r
+end\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin mg1fb51 <= 0; kdfda89 <= 0; mr5136c <= 0; sh5e8fd <= 0; end else if (rxmac_clk_en) begin mg1fb51 <= vx27c55; kdfda89 <= xya8469; mr5136c <= dmf1579; sh5e8fd <= rt55e54; end\r
+end\r
+\r
+\r
+\r
+always @(posedge rxmac_clk or negedge reset_n) begin if (!reset_n) begin kq6d95b <= 0; xw6cad8 <= 0; pf748cc <= 0; an2333d <= 0; kdccf66 <= 0; ls86aa0 <= 0; dm66a7e <= 0; uka9f9a <= 0; fa6c2d4 <= 0; pf7e686 <= 0; zxf3437 <= 0; wl9a1bf <= 0; ks10d54 <= 0; ng86fe2 <= 0; db37f12 <= 0; ie2e869 <= 0; nr74349 <= 0; ana1a4e <= 0; pf616a3 <= 0; tu693a4 <= 0; ui49d23 <= 0; qv9f880 <= 0; rtfc405 <= 0; kde202e <= 0; lsb51f <= 0; cb80ba1 <= 0; uk5d0d <= 0; vk96da5 <= 0; ymb6d2b <= 0; ieb6958 <= 0; vv5a8fb <= 0; wy2b02f <= 0; xla5605 <= 0; end else if (rxmac_clk_en) begin ls86aa0 <= qv2609a; ks10d54 <= ba11d4a; pf616a3 <= bn94359; lsb51f <= qt54d92; vv5a8fb <= dm52d0f; kdccf66 <= tu7c982; wl9a1bf <= lsa23a9; ana1a4e <= ie9286b; kde202e <= lqca9b2; ieb6958 <= jp4a5a1; an2333d <= me59f26; zxf3437 <= qgd4475; nr74349 <= rib250d; rtfc405 <= by79536; ymb6d2b <= oua94b4; if (ecf318) begin pf748cc <= ou826a2; pf7e686 <= tj8ea52; ie2e869 <= wla1acf; qv9f880 <= gqa6c94; vk96da5 <= ls9687b; if (yxcc60b) begin fa6c2d4 <= tj8ea52 & ks10d54; end else begin fa6c2d4 <= ks10d54; end end else begin pf748cc <= an9a88e; pf7e686 <= cz75296; ie2e869 <= mtd67c; qv9f880 <= sj364a1; vk96da5 <= yzb43db; if (yxcc60b) begin fa6c2d4 <= cz75296 & ks10d54; end else begin fa6c2d4 <= ks10d54; end end ng86fe2 <= xya8469; dm66a7e <= kq5c542; tu693a4 <= rg696d0; cb80ba1 <= 0; if ((by4234b == 0) && (xya8469 == 1)) begin xla5605 <= ~cmc1715; end if ((by4234b == 0) && (ie8d2da == 1)) begin kq6d95b <= 0; db37f12 <= xya8469 & ie8d2da; uka9f9a <= {kq5c542[3:0], ym1508d}; ui49d23 <= rg696d0 | gb5b41d; wy2b02f <= ~cmc1715; if ({kq5c542[3:0], ym1508d} == 8'hd5) begin xw6cad8 <= 0; end else begin xw6cad8 <= 1; end end else if ((ie8d2da == 0) && (xya8469 == 1)) begin kq6d95b <= 1; db37f12 <= ng11a5b & xya8469; uka9f9a <= {uve2a11, kq5c542[3:0]}; ui49d23 <= gb4b683 | rg696d0; wy2b02f <= ~cmc1715; if ({uve2a11, kq5c542[3:0]} == 8'hd5) begin xw6cad8 <= 0; end else begin xw6cad8 <= 1; end end else if ((hod0762 == 1) && ({kq5c542[3:0], ym1508d} == 8'hd5)) begin kq6d95b <= 0; uka9f9a <= {kq5c542[3:0], ym1508d}; xw6cad8 <= 0; end else if ((hod0762 == 1) && ({uve2a11, kq5c542[3:0]} == 8'hd5)) begin kq6d95b <= 1; uka9f9a <= {uve2a11, kq5c542[3:0]}; xw6cad8 <= 0; end else if (jcda0ec == 0) begin db37f12 <= xya8469 & ie8d2da; uka9f9a <= {kq5c542[3:0], ym1508d}; ui49d23 <= rg696d0 | gb5b41d; if (cz75296 == 1) begin uk5d0d <= xya8469 != ie8d2da; end end else if (jcda0ec == 1) begin db37f12 <= ng11a5b & xya8469; uka9f9a <= {uve2a11, kq5c542[3:0]}; ui49d23 <= gb4b683 | rg696d0; if (cz75296 == 1) begin uk5d0d <= ng11a5b != xya8469; end end end\r
+end\r
+\r
+\r
+always @(posedge txmac_clk or negedge reset_n) begin if (!reset_n) begin hoc0bc3 <= 0; ie5e1b <= 0; end else if (txmac_clk_en) begin if (ec1513e) begin hoc0bc3 <= fnd8544; ie5e1b <= hbc2a27; end else begin hoc0bc3 <= 0; ie5e1b <= 0; end end\r
+end\r
+\r
+\r
+always @(posedge txmac_clk or negedge reset_n) begin if (!reset_n) begin ri2f0d9 <= 1'b0; end else if (txmac_clk_en) begin ri2f0d9 <= ec1513e; end\r
+end\r
+\r
+\r
+always @(dme43cc or ykdb90f or swf6e4 or zx7b721) begin casex({dme43cc, ykdb90f}) 2'bx0 : begin txd_pos[7:0] = 0; txd_neg[3:0] = 0; tx_er = 0; tx_en = 0; end 2'b11 : begin txd_pos[7:0] = swf6e4[7:0]; txd_neg[3:0] = swf6e4[3:0]; tx_er = zx7b721; tx_en = ykdb90f; end 2'b01 : begin txd_pos[7:0] = {4'h0, swf6e4[3:0]}; txd_neg[3:0] = swf6e4[7:4]; tx_er = zx7b721; tx_en = ykdb90f; end default : begin txd_pos[7:0] = 0; txd_neg[3:0] = 0; tx_er = 0; tx_en = 0; end endcase\r
+end\r
+always@* begin fnd8544<={fncdc51>>1,mef0f02[0]};hbc2a27<=mef0f02[1];ec1513e<=mef0f02[2];twa89f1<=mef0f02[3];sh44f8a<=mef0f02[4];vx27c55<=mef0f02[5];gq3e2af<=mef0f02[6];dmf1579<=mef0f02[7];yz8abca<=mef0f02[8];rt55e54<={rxd_pos>>1,mef0f02[9]};rvaf2a6<={rxd_neg>>1,mef0f02[10]};by79536<=mef0f02[11];lqca9b2<=mef0f02[12];qt54d92<=mef0f02[13];gqa6c94<=mef0f02[14];sj364a1<=mef0f02[15];rib250d<=mef0f02[16];ie9286b<=mef0f02[17];bn94359<=mef0f02[18];wla1acf<=mef0f02[19];mtd67c<=mef0f02[20];me59f26<={pf748cc>>1,mef0f02[21]};tu7c982<={an2333d>>1,mef0f02[22]};qv2609a<={kdccf66>>1,mef0f02[23]};ou826a2<={dm66a7e>>1,mef0f02[24]};an9a88e<={uka9f9a>>1,mef0f02[25]};qgd4475<=mef0f02[26];lsa23a9<=mef0f02[27];ba11d4a<=mef0f02[28];tj8ea52<=mef0f02[29];cz75296<=mef0f02[30];oua94b4<=mef0f02[31];jp4a5a1<=mef0f02[32];dm52d0f<=mef0f02[33];ls9687b<=mef0f02[34];yzb43db<=mef0f02[35];swf6e4<={hoc0bc3>>1,mef0f02[36]};zx7b721<=mef0f02[37];ykdb90f<=mef0f02[38];czdc879<=mef0f02[39];dme43cc<=mef0f02[40];sw21e63<=mef0f02[41];ecf318<=mef0f02[42];ps798c1<=mef0f02[43];yxcc60b<=mef0f02[44];ir182e2<={xy2aaf4>>1,mef0f02[45]};cmc1715<=mef0f02[46];kq5c542<={sh5e8fd>>1,mef0f02[47]};uve2a11<={faf47ed>>1,mef0f02[48]};ym1508d<={mga3f6a>>1,mef0f02[49]};xya8469<=mef0f02[50];by4234b<=mef0f02[51];ng11a5b<=mef0f02[52];ie8d2da<=mef0f02[53];rg696d0<=mef0f02[54];gb4b683<=mef0f02[55];gb5b41d<=mef0f02[56];jcda0ec<=mef0f02[57];hod0762<=mef0f02[58];end\r
+always@* begin ng1e1e0[2047]<=zx6e28f;ng1e1e0[2046]<=pf7147e;ng1e1e0[2044]<=ned0632;ng1e1e0[2040]<=kq53683;ng1e1e0[2032]<=rx_dv_pos;ng1e1e0[2016]<=rx_dv_neg;ng1e1e0[1985]<=rx_er_pos;ng1e1e0[1922]<=rx_er_neg;ng1e1e0[1867]<=tu693a4;ng1e1e0[1804]<=sh5e8fd[0];ng1e1e0[1797]<=rxd_pos[0];ng1e1e0[1761]<=th50aab;ng1e1e0[1686]<=ui49d23;ng1e1e0[1623]<=mr5136c;ng1e1e0[1561]<=faf47ed[0];ng1e1e0[1558]<=wl9a1bf;ng1e1e0[1547]<=rxd_neg[0];ng1e1e0[1542]<=hoc0bc3[0];ng1e1e0[1490]<=nr74349;ng1e1e0[1475]<=xy2aaf4[0];ng1e1e0[1413]<=pf7e686;ng1e1e0[1409]<=xla5605;ng1e1e0[1400]<=xw6cad8;ng1e1e0[1324]<=pf748cc[0];ng1e1e0[1200]<=kdccf66[0];ng1e1e0[1199]<=fc89b65;ng1e1e0[1074]<=mga3f6a[0];ng1e1e0[1068]<=ng86fe2;ng1e1e0[1047]<=qv9f880;ng1e1e0[1037]<=ie5e1b;ng1e1e0[1023]<=fncdc51[0];ng1e1e0[933]<=ana1a4e;ng1e1e0[902]<=dz557a3;ng1e1e0[880]<=byca155;ng1e1e0[811]<=cz6a26d;ng1e1e0[779]<=zxf3437;ng1e1e0[771]<=wy2b02f;ng1e1e0[745]<=ie2e869;ng1e1e0[706]<=uka9f9a[0];ng1e1e0[704]<=ieb6958;ng1e1e0[700]<=kq6d95b;ng1e1e0[600]<=an2333d[0];ng1e1e0[440]<=yxd942a;ng1e1e0[405]<=kded44d;ng1e1e0[372]<=uk5d0d;ng1e1e0[353]<=dm66a7e[0];ng1e1e0[352]<=ymb6d2b;ng1e1e0[350]<=xw4db2b;ng1e1e0[220]<=ls1b285;ng1e1e0[202]<=kdfda89;ng1e1e0[186]<=cb80ba1;ng1e1e0[176]<=vk96da5;ng1e1e0[110]<=enc3650;ng1e1e0[101]<=mg1fb51;ng1e1e0[93]<=kde202e;ng1e1e0[88]<=db37f12;ng1e1e0[55]<=ay786ca;ng1e1e0[46]<=rtfc405;ng1e1e0[27]<=ri2f0d9;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+`timescale 1 ns / 100 ps\r
+module tsmac3 (\r
+\r
+ \r
+ hclk,\r
+ txmac_clk,\r
+ rxmac_clk,\r
+ reset_n,\r
+\r
+ txmac_clk_en,\r
+ rxmac_clk_en,\r
+\r
+\r
+ \r
+ rxd,\r
+ rx_dv,\r
+ rx_er,\r
+\r
+ col,\r
+ crs,\r
+\r
+ \r
+ haddr,\r
+ hdatain,\r
+ hcs_n,\r
+ hwrite_n,\r
+ hread_n,\r
+\r
+ \r
+\r
+ \r
+ tx_fifodata,\r
+ tx_fifoavail,\r
+ tx_fifoeof,\r
+ tx_fifoempty,\r
+ tx_sndpaustim,\r
+ tx_sndpausreq,\r
+ tx_fifoctrl,\r
+\r
+ \r
+ rx_fifo_full,\r
+ ignore_pkt,\r
+\r
+ \r
+ tx_en,\r
+ tx_er,\r
+\r
+ txd,\r
+\r
+ \r
+ hdataout,\r
+ hdataout_en_n,\r
+ hready_n,\r
+ cpu_if_gbit_en,\r
+\r
+ \r
+\r
+ \r
+ tx_macread,\r
+ tx_discfrm,\r
+ tx_staten,\r
+ tx_statvec,\r
+ tx_done,\r
+\r
+ \r
+ rx_fifo_error,\r
+ rx_stat_vector,\r
+ rx_dbout,\r
+ rx_write,\r
+ rx_stat_en,\r
+ rx_eof,\r
+ rx_error\r
+)\r
+ \r
+ \r
+;\r
+input hclk;\r
+input txmac_clk;\r
+input rxmac_clk;\r
+input reset_n;\r
+\r
+input txmac_clk_en;\r
+input rxmac_clk_en;\r
+\r
+\r
+\r
+input [7:0] rxd;\r
+input rx_dv;\r
+input rx_er;\r
+\r
+\r
+input col;\r
+input crs;\r
+\r
+input [7:0] haddr;\r
+input [7:0] hdatain;\r
+input hcs_n;\r
+input hwrite_n;\r
+input hread_n;\r
+\r
+input [7:0] tx_fifodata;\r
+input tx_fifoavail;\r
+input tx_fifoeof;\r
+input tx_fifoempty;\r
+input [15:0] tx_sndpaustim;\r
+input tx_sndpausreq;\r
+input tx_fifoctrl;\r
+input rx_fifo_full;\r
+input ignore_pkt;\r
+\r
+output [7:0] txd;\r
+\r
+output tx_en;\r
+output tx_er;\r
+output [7:0] hdataout;\r
+output hdataout_en_n;\r
+output hready_n;\r
+output cpu_if_gbit_en;\r
+\r
+output tx_macread;\r
+output tx_discfrm;\r
+output tx_staten;\r
+output tx_done;\r
+output [30:0] tx_statvec;\r
+output rx_fifo_error;\r
+output [31:0] rx_stat_vector;\r
+output [7:0] rx_dbout;\r
+output rx_write;\r
+output rx_stat_en;\r
+output rx_eof;\r
+output rx_error;\r
+\r
+\r
+\r
+\r
+\r
+parameter pdevice_family = "ECP2";\r
+\r
+\r
+\r
+\r
+\r
+wire [15:0] ie2197a;\r
+wire fpcbd0;\r
+wire yx65e87;\r
+wire [8:0] bldd558;\r
+wire ykeaac7;\r
+wire [15:0] ned0f15;\r
+wire ie878aa;\r
+wire oh3c550;\r
+wire [7:0] mre2a84;\r
+wire mg15425;\r
+wire hdaa129;\r
+wire bl5094e;\r
+wire tj84a76;\r
+wire ba253b7;\r
+wire [4:0] ep29dbb;\r
+wire cz4eddc;\r
+wire vi76ee4;\r
+wire lsb7722;\r
+wire ohbb913;\r
+wire mrdc89c;\r
+wire fae44e4;\r
+wire lf22723;\r
+wire db1391e;\r
+wire ls9c8f7;\r
+wire [13:0] xwe47ba;\r
+wire [7:0] yz23dd3;\r
+wire [7:0] fc1ee9d;\r
+wire [7:0] gbf74eb;\r
+wire [7:0] bnba75d;\r
+wire [7:0] qtd3aef;\r
+wire [7:0] ks9d77d;\r
+wire [7:0] tuebbe9;\r
+wire [7:0] vi5df4b;\r
+wire [15:0] ykefa5a;\r
+wire [47:0] ic7d2d1;\r
+wire [10:0] rte9688;\r
+wire [15:0] gb4b447;\r
+wire hb5a23d;\r
+wire [7:0] qgd11ee;\r
+wire ks88f71;\r
+wire lq47b89;\r
+wire nt3dc4a;\r
+wire hoee257;\r
+wire bl712ba;\r
+wire hq895d6;\r
+\r
+wire mrd6596;\r
+wire bab2cb4;\r
+wire [7:0] hq965a2;\r
+wire [3:0] ngb2d12;\r
+wire zm96892;\r
+wire gdb4493;\r
+wire vka249c;\r
+wire ep124e2;\r
+wire [7:0] ou92714;\r
+wire [3:0] ri938a0;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+reg jea77e1;\r
+reg [7 : 0] en51e07;\r
+reg [7 : 0] go781e5;\r
+reg mt3ca1c;\r
+reg yz7943;\r
+reg zkc0f28;\r
+reg [7 : 0] al7a68d;\r
+reg jcda897;\r
+reg ipd346d;\r
+reg wl9a36c;\r
+reg [15 : 0] ay463af;\r
+reg end918e;\r
+reg god1b64;\r
+reg wwde5f9;\r
+reg wwd1063;\r
+reg [15 : 0] ks9259a;\r
+reg ks92cd0;\r
+reg pu96681;\r
+reg [8 : 0] ir29e31;\r
+reg jp4f18c;\r
+reg [15 : 0] vid028c;\r
+reg bn81463;\r
+reg iea31e;\r
+reg [7 : 0] wj518f1;\r
+reg do8c78c;\r
+reg dm63c62;\r
+reg tw1e313;\r
+reg jcf189a;\r
+reg xy8c4d3;\r
+reg [4 : 0] go6269a;\r
+reg ph134d0;\r
+reg an9a686;\r
+reg ofd3434;\r
+reg sj9a1a4;\r
+reg byd0d24;\r
+reg ri86922;\r
+reg gq34912;\r
+reg ana4891;\r
+reg qi2448c;\r
+reg [13 : 0] vx22461;\r
+reg [7 : 0] ep1230e;\r
+reg [7 : 0] rv91874;\r
+reg [7 : 0] pu8c3a6;\r
+reg [7 : 0] xj61d32;\r
+reg [7 : 0] twe996;\r
+reg [7 : 0] dz74cb2;\r
+reg [7 : 0] aaa6597;\r
+reg [7 : 0] tj32cb8;\r
+reg [15 : 0] vk965c6;\r
+reg [47 : 0] vkb2e32;\r
+reg [10 : 0] ks97192;\r
+reg [15 : 0] lsb8c90;\r
+reg shc6483;\r
+reg [7 : 0] vx3241d;\r
+reg ou920ef;\r
+reg sj90778;\r
+reg ba83bc5;\r
+reg kf1de2a;\r
+reg osef154;\r
+reg cm78aa0;\r
+reg xwc5501;\r
+reg do2a80a;\r
+reg [7 : 0] yx54053;\r
+reg [3 : 0] zza029d;\r
+reg ng14ef;\r
+reg aaa77a;\r
+reg ic53bd1;\r
+reg ym9de89;\r
+reg [7 : 0] wwef448;\r
+reg [3 : 0] qt7a240;\r
+reg [2047:0] ng1e1e0;\r
+wire [69:0] mef0f02;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+localparam uk87811 = 70,gd3c088 = 32'hfdffd14b;\r
+localparam [31:0] yke0444 = gd3c088;\r
+localparam ba1110d = gd3c088 & 4'hf;\r
+localparam [11:0] of44359 = 'h7ff;\r
+wire [(1 << ba1110d) -1:0] epd660;\r
+reg [uk87811-1:0] ui59826;\r
+reg [ba1110d-1:0] uv60984 [0:1];\r
+reg [ba1110d-1:0] sj26123;\r
+reg fc3091c;\r
+integer tj848e3;\r
+integer aa2471f;\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ \r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+\r
+ assign mrd6596 = txmac_clk_en; assign bab2cb4 = rxmac_clk_en;\r
+\r
+\r
+\r
+\r
+\r
+ assign hq965a2 = rxd; assign ngb2d12 = 4'h0; assign zm96892 = rx_dv; assign gdb4493 = 1'b0; assign vka249c = rx_er; assign ep124e2 = 1'b0; assign txd = wwef448;\r
+\r
+\r
+\r
+\r
+\r
+qv835c #(.pdevice_family(pdevice_family))\r
+mt3cb88 ( .rxmac_clk(rxmac_clk), .reset_n(jea77e1), .rxmac_clk_en(do2a80a), .ks10d54(ba83bc5), .fa6c2d4(jr8eff9), .ls86aa0(vx3241d), .pf616a3(sj90778), .lsb51f(ou920ef), .vv5a8fb(kf1de2a), .uv70d29(ep1230e), .hq8694d(rv91874), .ec34a6a(pu8c3a6), .lfa5351(xj61d32), .gd29a8f(twe996), .rg4d47c(dz74cb2), .mr6a3e2(aaa6597), .of51f14(tj32cb8), .sj8f8a4(vkb2e32), .tu7c524(sj9a1a4), .ale2922(byd0d24), .vk14915(gq34912), .ba25a85(vk965c6), .qgcb360(ana4891), .go59b01(ri86922), .ldcd808(vx22461), .tu6c047(ofd3434), .wj6023b(an9a686), .rx_fifo_full(wwde5f9), .ignore_pkt(wwd1063), .gq3baab(yx65e87), .ene2fd7(ie2197a), .cb17ebd(fpcbd0), .bldd558(bldd558), .ykeaac7(ykeaac7), .su780a3(ned0f15), .fa58e7c(ie878aa), .rx_fifo_error(rx_fifo_error), .rx_stat_vector(rx_stat_vector), .rx_dbout(rx_dbout), .rx_write(rx_write), .rx_stat_en(rx_stat_en), .rx_eof(rx_eof), .rx_error(rx_error)\r
+);\r
+uid1f98 #(.pdevice_family(pdevice_family))\r
+wy3e272 ( .txmac_clk(txmac_clk), .ir3994e(jea77e1), .txmac_clk_en(xwc5501), .gof5dd7(tw1e313), .anaeeb9(jcf189a), .phbae69(xy8c4d3), .yk775cd(ph134d0), .vk3f2df(go6269a), .vvf96fb(vkb2e32), .fa69d8e(vk965c6), .tx_fifodata(al7a68d), .tx_fifoeof(ipd346d), .tx_fifoempty(wl9a36c), .tx_fifoavail(jcda897), .tx_sndpaustim(ay463af), .tx_sndpausreq(end918e), .tx_fifoctrl(god1b64), .zk520c4(bn81463), .cb90622(vid028c), .ukb9a76(cm78aa0), .vvd734e(osef154), .suc455c(hdaa129), .tx_macread(tx_macread), .tx_discfrm(tx_discfrm), .tx_staten(tx_staten), .tx_statvec(tx_statvec), .tx_done(tx_done), .ip750ca(oh3c550), .lsa8654(mre2a84), .ic432a3(mg15425) \r
+);\r
+ ksbcf57 twa7c2e ( .txmac_clk(txmac_clk), .rxmac_clk(rxmac_clk), .reset_n(jea77e1), .rxmac_clk_en(do2a80a), .txmac_clk_en(xwc5501), .fncdc51(wj518f1), .zx6e28f(do8c78c), .pf7147e(iea31e), .rx_dv_pos(ng14ef), .rx_dv_neg(aaa77a), .rx_er_pos(ic53bd1), .rx_er_neg(ym9de89), .rxd_pos(yx54053), .rxd_neg(zza029d),\r
+\r
+\r
+ .col(col), .crs(crs),\r
+\r
+\r
+\r
+ .ned0632(cpu_if_gbit_en), .kq53683(qi2448c), .lsb51f(ks88f71), .pf616a3(lq47b89), .ks10d54(nt3dc4a), .fa6c2d4(jr8eff9), .ls86aa0(qgd11ee), .vv5a8fb(hoee257), .ksac9b7(bl712ba), .zx64db8(hq895d6), .txd_pos(ou92714), .txd_neg(ri938a0), .tx_en(tx_en), .tx_er(tx_er) );\r
+\r
+fp8b349 vk20026 ( .hclk(hclk), .reset_n(jea77e1), .haddr(en51e07), .hdatain(go781e5), .hread_n(zkc0f28), .hwrite_n(yz7943), .hcs_n(mt3ca1c), .ene2fd7(ks9259a), .cb17ebd(ks92cd0), .gq3baab(pu96681), .bldd558(ir29e31), .ykeaac7(jp4f18c), .aye5ebc(dm63c62), \r
+\r
+\r
+\r
+ .hdataout(hdataout), .hready_n(hready_n), .hdataout_en_n(hdataout_en_n), .tx_en(bl5094e), .vvcda9b(tj84a76), .ui6d4df(ba253b7), .vk3f2df(ep29dbb), .ned0632(cpu_if_gbit_en), .yk775cd(cz4eddc),\r
+ \r
+\r
+\r
+\r
+ .wj6023b(vi76ee4), .tu6c047(lsb7722), .neda0c6(ic7d2d1), .bydf307(ohbb913), .ale2922(mrdc89c), .go59b01(fae44e4), .vk14915(lf22723), .qgcb360(db1391e), .ldcd808(xwe47ba), .kq53683(ls9c8f7), .ba25a85(ykefa5a), .ip60ebc(yz23dd3), .ep75e4(fc1ee9d), .ls3af25(gbf74eb), .pfd792a(bnba75d), .cobc957(qtd3aef), .xwe4ab9(ks9d77d), .tw255ca(tuebbe9), .ri2ae53(vi5df4b)\r
+);\r
+\r
+\r
+\r
+always@* begin jea77e1<=mef0f02[0];en51e07<={haddr>>1,mef0f02[1]};go781e5<={hdatain>>1,mef0f02[2]};mt3ca1c<=mef0f02[3];yz7943<=mef0f02[4];zkc0f28<=mef0f02[5];al7a68d<={tx_fifodata>>1,mef0f02[6]};jcda897<=mef0f02[7];ipd346d<=mef0f02[8];wl9a36c<=mef0f02[9];ay463af<={tx_sndpaustim>>1,mef0f02[10]};end918e<=mef0f02[11];god1b64<=mef0f02[12];wwde5f9<=mef0f02[13];wwd1063<=mef0f02[14];ks9259a<={ie2197a>>1,mef0f02[15]};ks92cd0<=mef0f02[16];pu96681<=mef0f02[17];ir29e31<={bldd558>>1,mef0f02[18]};jp4f18c<=mef0f02[19];vid028c<={ned0f15>>1,mef0f02[20]};bn81463<=mef0f02[21];iea31e<=mef0f02[22];wj518f1<={mre2a84>>1,mef0f02[23]};do8c78c<=mef0f02[24];dm63c62<=mef0f02[25];tw1e313<=mef0f02[26];jcf189a<=mef0f02[27];xy8c4d3<=mef0f02[28];go6269a<={ep29dbb>>1,mef0f02[29]};ph134d0<=mef0f02[30];an9a686<=mef0f02[31];ofd3434<=mef0f02[32];sj9a1a4<=mef0f02[33];byd0d24<=mef0f02[34];ri86922<=mef0f02[35];gq34912<=mef0f02[36];ana4891<=mef0f02[37];qi2448c<=mef0f02[38];vx22461<={xwe47ba>>1,mef0f02[39]};ep1230e<={yz23dd3>>1,mef0f02[40]};rv91874<={fc1ee9d>>1,mef0f02[41]};pu8c3a6<={gbf74eb>>1,mef0f02[42]};xj61d32<={bnba75d>>1,mef0f02[43]};twe996<={qtd3aef>>1,mef0f02[44]};dz74cb2<={ks9d77d>>1,mef0f02[45]};aaa6597<={tuebbe9>>1,mef0f02[46]};tj32cb8<={vi5df4b>>1,mef0f02[47]};vk965c6<={ykefa5a>>1,mef0f02[48]};vkb2e32<={ic7d2d1>>1,mef0f02[49]};ks97192<={rte9688>>1,mef0f02[50]};lsb8c90<={gb4b447>>1,mef0f02[51]};shc6483<=mef0f02[52];vx3241d<={qgd11ee>>1,mef0f02[53]};ou920ef<=mef0f02[54];sj90778<=mef0f02[55];ba83bc5<=mef0f02[56];kf1de2a<=mef0f02[57];osef154<=mef0f02[58];cm78aa0<=mef0f02[59];xwc5501<=mef0f02[60];do2a80a<=mef0f02[61];yx54053<={hq965a2>>1,mef0f02[62]};zza029d<={ngb2d12>>1,mef0f02[63]};ng14ef<=mef0f02[64];aaa77a<=mef0f02[65];ic53bd1<=mef0f02[66];ym9de89<=mef0f02[67];wwef448<={ou92714>>1,mef0f02[68]};qt7a240<={ri938a0>>1,mef0f02[69]};end\r
+always@* begin ng1e1e0[2047]<=haddr[0];ng1e1e0[2046]<=hdatain[0];ng1e1e0[2044]<=hcs_n;ng1e1e0[2040]<=hwrite_n;ng1e1e0[2033]<=hread_n;ng1e1e0[2019]<=tx_fifodata[0];ng1e1e0[1994]<=gbf74eb[0];ng1e1e0[1990]<=tx_fifoavail;ng1e1e0[1981]<=tj84a76;ng1e1e0[1965]<=lsb7722;ng1e1e0[1940]<=bnba75d[0];ng1e1e0[1939]<=bldd558[0];ng1e1e0[1933]<=tx_fifoeof;ng1e1e0[1914]<=ba253b7;ng1e1e0[1883]<=ohbb913;ng1e1e0[1832]<=qtd3aef[0];ng1e1e0[1831]<=ykeaac7;ng1e1e0[1819]<=tx_fifoempty;ng1e1e0[1785]<=xwe47ba[0];ng1e1e0[1781]<=ep29dbb[0];ng1e1e0[1778]<=ie2197a[0];ng1e1e0[1740]<=hoee257;ng1e1e0[1719]<=mrdc89c;ng1e1e0[1634]<=mrd6596;ng1e1e0[1616]<=ks9d77d[0];ng1e1e0[1615]<=ned0f15[0];ng1e1e0[1591]<=tx_sndpaustim[0];ng1e1e0[1579]<=zm96892;ng1e1e0[1522]<=yz23dd3[0];ng1e1e0[1515]<=cz4eddc;ng1e1e0[1508]<=fpcbd0;ng1e1e0[1470]<=db1391e;ng1e1e0[1432]<=bl712ba;ng1e1e0[1406]<=ri938a0[0];ng1e1e0[1391]<=fae44e4;ng1e1e0[1286]<=ic7d2d1[0];ng1e1e0[1271]<=mg15425;ng1e1e0[1221]<=bab2cb4;ng1e1e0[1184]<=tuebbe9[0];ng1e1e0[1182]<=ie878aa;ng1e1e0[1135]<=tx_sndpausreq;ng1e1e0[1111]<=gdb4493;ng1e1e0[1051]<=gb4b447[0];ng1e1e0[1023]<=reset_n;ng1e1e0[997]<=fc1ee9d[0];ng1e1e0[990]<=bl5094e;ng1e1e0[982]<=vi76ee4;ng1e1e0[969]<=yx65e87;ng1e1e0[892]<=ls9c8f7;ng1e1e0[889]<=ignore_pkt;ng1e1e0[870]<=nt3dc4a;ng1e1e0[817]<=hq895d6;ng1e1e0[789]<=ngb2d12[0];ng1e1e0[735]<=lf22723;ng1e1e0[703]<=ou92714[0];ng1e1e0[643]<=ykefa5a[0];ng1e1e0[635]<=mre2a84[0];ng1e1e0[525]<=rte9688[0];ng1e1e0[495]<=hdaa129;ng1e1e0[444]<=rx_fifo_full;ng1e1e0[435]<=lq47b89;ng1e1e0[394]<=hq965a2[0];ng1e1e0[351]<=ep124e2;ng1e1e0[321]<=vi5df4b[0];ng1e1e0[317]<=oh3c550;ng1e1e0[222]<=tx_fifoctrl;ng1e1e0[217]<=ks88f71;ng1e1e0[175]<=vka249c;ng1e1e0[108]<=qgd11ee[0];ng1e1e0[54]<=hb5a23d;end assign epd660 = ng1e1e0,mef0f02 = ui59826; initial begin tj848e3 = $fopen(".fred"); $fdisplay( tj848e3, "%3h\n%3h", (yke0444 >> 4) & of44359, (yke0444 >> (ba1110d+4)) & of44359 ); $fclose(tj848e3); $readmemh(".fred", uv60984); end always @ (epd660) begin sj26123 = uv60984[1]; for (aa2471f=0; aa2471f<uk87811; aa2471f=aa2471f+1) begin ui59826[aa2471f] = epd660[sj26123]; fc3091c = ^(sj26123 & uv60984[0]); sj26123 = {sj26123, fc3091c}; end end \r
+endmodule\r
+\r