SD_REFCLK_N_IN : in std_logic;
SD_PRSNT_N_IN : in std_logic_vector(3 downto 0); -- SFP Present ('0' = SFP in place, '1' = no SFP mounted)
SD_LOS_IN : in std_logic_vector(3 downto 0); -- SFP Loss Of Signal ('0' = OK, '1' = no signal)
- SD_TXDIS_OUT : out std_logic; -- SFP disable
+ SD_TXDIS_OUT : out std_logic_vector(3 downto 0); -- SFP disable
-- Status and control port
STAT_OP : out std_logic_vector (4*16-1 downto 0);
CTRL_OP : in std_logic_vector (4*16-1 downto 0);
);
- sd_txdis_out <= quad_rst(0);
+ sd_txdis_out(0) <= quad_rst(0);
+ sd_txdis_out(1) <= quad_rst(0);
+ sd_txdis_out(2) <= quad_rst(0);
+ sd_txdis_out(3) <= quad_rst(0);
end generate;
- process(master_counter, fifo_to_int_data_out, combined_header_F1, registered_trailer_F1,
- combined_trailer_F1, combined_header_F2, registered_trailer_F2, combined_trailer_F2,
- combined_header_F3, registered_trailer_F3, combined_trailer_F3, combined_header_F0,
- registered_trailer_F0,registered_header_F0, registered_header_F1, registered_header_F2,
+ process(master_counter, fifo_to_int_data_out, registered_trailer_F1,
+ registered_trailer_F2, registered_trailer_F3, registered_trailer_F0,
+ registered_header_F0, registered_header_F1, registered_header_F2,
registered_header_F3)
begin
case master_counter is
---------------------------------------
to_int : process(state_to_int, send_trm_wrong_addr, APL_SHORT_TRANSFER_IN, APL_SEND_IN,
master_counter, sbuf_free, fifo_to_int_empty, sequence_counter, fifo_to_int_read_before,
- state_to_apl, slave_start, fifo_was_not_empty)
+ state_to_apl, slave_start, fifo_was_not_empty, apl_send_in_down_timeout)
begin
next_state_to_int <= state_to_int;
update_registered_trailer <= '0';
SYNC_RESET_MUX_IO : process(CLK)
begin
if rising_edge(CLK) then
- reset_i <= MED_STAT_OP(14) or RESET;
+ reset_i <= MED_STAT_OP(14+2*16) or RESET;
end if;
end process;
CLK_EN => CLK_EN,
--Media interfacces
- MED_DATAREADY_OUT => med_dataready_out(mii downto 1),
- MED_DATA_OUT => med_data_out(mii*16+15 downto 16),
- MED_PACKET_NUM_OUT=> med_packet_num_out(mii*3+2 downto 3),
- MED_READ_IN => med_read_in(mii downto 1),
- MED_DATAREADY_IN => med_dataready_in(mii downto 1),
- MED_DATA_IN => med_data_in(mii*16+15 downto 16),
- MED_PACKET_NUM_IN => med_packet_num_in(mii*3+2 downto 3),
- MED_READ_OUT => med_read_out(mii downto 1),
- MED_STAT_OP => med_stat_op(mii*16+15 downto 16),
- MED_CTRL_OP => med_ctrl_op(mii*16+15 downto 16),
+ MED_DATAREADY_OUT => med_dataready_out(mii-1 downto 0),
+ MED_DATA_OUT => med_data_out(mii*16-1 downto 0),
+ MED_PACKET_NUM_OUT=> med_packet_num_out(mii*3-1 downto 0),
+ MED_READ_IN => med_read_in(mii-1 downto 0),
+ MED_DATAREADY_IN => med_dataready_in(mii-1 downto 0),
+ MED_DATA_IN => med_data_in(mii*16-1 downto 0),
+ MED_PACKET_NUM_IN => med_packet_num_in(mii*3-1 downto 0),
+ MED_READ_OUT => med_read_out(mii-1 downto 0),
+ MED_STAT_OP => med_stat_op(mii*16-1 downto 0),
+ MED_CTRL_OP => med_ctrl_op(mii*16-1 downto 0),
INT_INIT_DATAREADY_OUT => hub_init_dataready_out,
INT_INIT_DATA_OUT => hub_init_data_out,
CLK => CLK,
RESET => reset_i,
CLK_EN => CLK_EN,
- MED_DATAREADY_IN => MED_DATAREADY_IN(0),
- MED_DATA_IN => MED_DATA_IN(15 downto 0),
- MED_PACKET_NUM_IN => MED_PACKET_NUM_IN(2 downto 0),
- MED_READ_OUT => MED_READ_OUT(0),
- MED_DATAREADY_OUT => MED_DATAREADY_OUT(0),
- MED_DATA_OUT => MED_DATA_OUT(15 downto 0),
- MED_PACKET_NUM_OUT => MED_PACKET_NUM_OUT(2 downto 0),
- MED_READ_IN => MED_READ_IN(0),
+ MED_DATAREADY_IN => MED_DATAREADY_IN(2),
+ MED_DATA_IN => MED_DATA_IN(47 downto 32),
+ MED_PACKET_NUM_IN => MED_PACKET_NUM_IN(8 downto 6),
+ MED_READ_OUT => MED_READ_OUT(2),
+ MED_DATAREADY_OUT => MED_DATAREADY_OUT(2),
+ MED_DATA_OUT => MED_DATA_OUT(47 downto 32),
+ MED_PACKET_NUM_OUT => MED_PACKET_NUM_OUT(8 downto 6),
+ MED_READ_IN => MED_READ_IN(2),
INT_DATAREADY_OUT => io_dataready_in,
INT_DATA_OUT => io_data_in,
INT_PACKET_NUM_OUT => io_packet_num_in,
CTRL => (others => '0'),
STAT => open
);
- io_error_in <= MED_STAT_OP(2 downto 0);
+ io_error_in <= MED_STAT_OP(32+2 downto 32+0);
---------------------------------------------------------------------
-- IPU Channel