FIFO_ALMOST_FULL_OUT : out std_logic;
COARSE_COUNTER_IN : in std_logic_vector(10 downto 0);
EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0);
- TRIGGER_WINDOW_END_IN : in std_logic;
DATA_FINISHED_IN : in std_logic;
RUN_MODE : in std_logic;
LOST_HIT_NUMBER : out std_logic_vector(23 downto 0);
RESET_100 : in std_logic;
HIT_IN : in std_logic;
EPOCH_COUNTER_IN : in std_logic_vector(27 downto 0);
- TRIGGER_WINDOW_END_IN : in std_logic;
DATA_FINISHED_IN : in std_logic;
RUN_MODE : in std_logic;
COARSE_COUNTER_IN : in std_logic_vector(10 downto 0);