signal comb_REPLY_muxed_PACKET_NUM : std_logic_vector(NUM_WIDTH-1 downto 0);
signal reply_arbiter_CLK_EN : std_logic;
signal init_arbiter_CLK_EN : std_logic;
+ signal init_arbiter_ENABLE : std_logic;
signal init_arbiter_read_out : std_logic_vector(POINT_NUMBER-1 downto 0);
signal reply_arbiter_input : std_logic_vector(POINT_NUMBER-1 downto 0);
CLK_EN => init_arbiter_CLK_EN,
INPUT_IN => INIT_DATAREADY_IN,
RESULT_OUT => init_arbiter_read_out,
- ENABLE => not init_locked,
+ ENABLE => init_arbiter_ENABLE,
CTRL => (others => '0')
);
init_arbiter_CLK_EN <= not locked;
+ init_arbiter_ENABLE <= not init_locked;
--Datapool for Init-Channel
INIT_muxed_DATAREADY <= or_all(INIT_DATAREADY_IN) and not init_locked and INIT_muxed_READ;