]> jspc29.x-matter.uni-frankfurt.de Git - trb3sc.git/commitdiff
remove backup files from repo
authorJan Michel <michel@physik.uni-frankfurt.de>
Fri, 22 Dec 2023 12:49:36 +0000 (13:49 +0100)
committerJan Michel <michel@physik.uni-frankfurt.de>
Fri, 22 Dec 2023 12:49:36 +0000 (13:49 +0100)
16 files changed:
.gitignore
code/tdc_calib/Cal_Limits.vhd~ [deleted file]
code/tdc_calib/Cal_Limits_v2.vhd~ [deleted file]
code/tdc_calib/Calc_output.vhd~ [deleted file]
code/tdc_calib/Calibration.vhd~ [deleted file]
code/tdc_calib/LUT.vhd~ [deleted file]
code/tdc_calib/Memory.vhd~ [deleted file]
code/tdc_calib/Memory_curr.vhd~ [deleted file]
code/tdc_calib/cnt_val.vhd~ [deleted file]
code/tdc_calib/compare_old.vhd~ [deleted file]
code/tdc_calib/default_val.vhd~ [deleted file]
code/tdc_calib/read_Memory.vhd~ [deleted file]
code/tdc_calib/read_cnt.vhd~ [deleted file]
code/tdc_calib/sim_tb.vhd.bak [deleted file]
code/tdc_calib/sim_tb.vhd~ [deleted file]
code/tdc_calib/transcript [deleted file]

index 5aaed8d2b1ac354d8e36e35ab1d825ef1d6178e0..99a485fd334c42721ce7db0366ef8559740b2db0 100644 (file)
@@ -13,6 +13,7 @@ version.vhd
 *.log
 workdir
 workdir_*
+workdir*
 *.bit
 *.kate-swp*
 *.kate-swap*
@@ -31,4 +32,4 @@ work
 licbug.txt
 old
 config_compile.pl
-._Real_._Math_.vhd
\ No newline at end of file
+._Real_._Math_.vhd
diff --git a/code/tdc_calib/Cal_Limits.vhd~ b/code/tdc_calib/Cal_Limits.vhd~
deleted file mode 100644 (file)
index 38201c3..0000000
+++ /dev/null
@@ -1,415 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-library work;
-use work.trb_net_std.all;
-
-entity Cal_Limits is
-    generic (
-       cal_Limit_gen   : unsigned(19 downto 0) := "00000000000100000000"
-    );    
-    port (
-        CLK                    : in  std_logic;
-        cal_Limit_reg  : in  unsigned(19 downto 0);
-        DIN_in         : in  std_logic_vector(31 downto 0);
-        DIN_in_b_r     : in  std_logic;
-        DIN_in_data_w  : in  std_logic;
-        DIN_in_data_f  : in  std_logic;
-        min_curr_in            : in  std_logic_vector( 9 downto 0);
-        max_curr_in            : in  std_logic_vector( 9 downto 0);
-        min_next_in            : in  std_logic_vector( 9 downto 0);
-        max_next_in            : in  std_logic_vector( 9 downto 0);
-        FPGA                   : in  std_logic_vector( 3 downto 0);
-        FPGA_next      : in  std_logic_vector( 3 downto 0);
-        chnl                   : in  std_logic_vector( 6 downto 0);
-        chnl_next              : in  std_logic_vector( 6 downto 0);
-        Do_Cal_in              : in  std_logic;
-        default_val_in         : in  std_logic := '1';
-        chnl_cnt_in    : in  unsigned(19 downto 0);
-        new_data       : in  std_logic;
-        write_curr             : out std_logic;
-        write_next             : out std_logic;
-        min_next               : out std_logic_vector( 9 downto 0);
-        max_next               : out std_logic_vector( 9 downto 0);
-        min_curr               : out std_logic_vector( 9 downto 0);
-        max_curr               : out std_logic_vector( 9 downto 0);
-        min_out                : out std_logic_vector( 9 downto 0);
-        max_out                : out std_logic_vector( 9 downto 0);
-        Delta                  : out std_logic_vector( 9 downto 0);
-        FPGA_out               : out std_logic_vector( 3 downto 0);
-        chnl_out               : out std_logic_vector( 6 downto 0);
-        FPGA_out_curr          : out std_logic_vector( 3 downto 0);
-        chnl_out_curr  : out std_logic_vector( 6 downto 0);
-        DIN_out                : out std_logic_vector(31 downto 0);
-        DIN_out_b_r    : out std_logic;
-        DIN_out_data_w : out std_logic;
-        DIN_out_data_f : out std_logic;
-        Do_Cal_out             : out std_logic;
-        chnl_cnt_out   : out unsigned (19 downto 0) :="00000000000000000000";
-        write_chnl_cnt  : out std_logic;
-        chnl_out_write  : out std_logic_vector( 6 downto 0);
-        FPGA_out_write  : out std_logic_vector( 3 downto 0);
-        cal_Limit_set   : out unsigned (19 downto 0);
-        BUS_Flash_value : in  std_logic_vector(26 downto 0);
-        Flash_flag     : in  std_logic
-    );
-end Cal_Limits;
-
-architecture Behavioral of Cal_Limits is
-
-  signal FPGA_i     : std_logic_vector(3 downto 0);
-  signal Delta_i    : std_logic_vector(9 downto 0) := "0110110100";
-  
-  signal min_curr_i : std_logic_vector( 9 downto 0);--:= "0000000001";
-  signal max_curr_i : std_logic_vector( 9 downto 0);--:= "1000000001";
-  signal min_next_i : std_logic_vector( 9 downto 0);--:= "0000000001";
-  signal max_next_i : std_logic_vector( 9 downto 0);--:= "1000000001";
-
-  signal min_curr_ii : std_logic_vector( 9 downto 0);--:= "0000000001";
-  signal max_curr_ii : std_logic_vector( 9 downto 0);--:= "1000000001";
-  signal min_next_ii : std_logic_vector( 9 downto 0);--:= "0000000001";
-  signal max_next_ii : std_logic_vector( 9 downto 0);--:= "1000000001";
-
-  signal min_curr_iii : std_logic_vector( 9 downto 0);--:= "0000000001";
-  signal max_curr_iii : std_logic_vector( 9 downto 0);--:= "1000000001";
-  signal min_next_iii : std_logic_vector( 9 downto 0);--:= "0000000001";
-  signal max_next_iii : std_logic_vector( 9 downto 0);--:= "1000000001";
-  
-  signal cnt_i     : unsigned(19 downto 0):="00000000000000000000";
-  signal cnt_ii            : unsigned(19 downto 0):="00000000000000000000";
-  signal cnt_iii    : unsigned(19 downto 0):="00000000000000000000";
-
-  signal chnl_i     : std_logic_vector( 6 downto 0);
-  signal FPGA_ii    : std_logic_vector( 3 downto 0);
-  signal chnl_ii    : std_logic_vector( 6 downto 0);
-  signal use_old    : std_logic:='0';
-  
-  signal write_curr_i : std_logic;
-  
-  signal cal_Limit    : unsigned(19 downto 0):="00011000011010100000";--:="00011000011010100000";
-  
-  type array2D is array (1 downto 0, 0 to 64) of std_logic_vector(19 downto 0); --(FPGA)(channel)
-  signal def_value : array2D := (others => ("10000000010000000010","10000000100000000010","10000000110000000010","10000001000000000010",
-                                           "10000001010000000010","10000001100000000010","10000001110000000010","10000010000000000010",
-                                           "10000010010000000010","10000010100000000010","10000010110000000010","10000011000000000010",
-                                           "10000011010000000010","10000011100000000010", others => "11111000010000001111" ));
-  
-  --signal write_curr_ii : std_logic;
-  
-begin
-
-   Limit : process (CLK)
-   begin
-    if rising_edge(CLK) then 
-    
-      if (cal_Limit_reg <= cal_Limit_gen) then
-         cal_Limit     <= cal_Limit_gen;
-         cal_Limit_set <= cal_Limit_gen;
-      else
-         cal_Limit     <= cal_Limit_reg;
-         cal_Limit_set <= cal_Limit_reg;
-      end if;
-    
-    end if;
-   end process;
-
-   Count : process (CLK)
-   begin
-     if rising_edge(CLK) then
-        if Do_Cal_in = '1' then
-           if new_data = '0' then
-             if cnt_i < cal_Limit then
-               cnt_i        <= cnt_i + 1;
-               chnl_cnt_out <= cnt_i + 1;
-            else
-               cnt_i <= to_unsigned(0,20);
-               chnl_cnt_out <= to_unsigned(0,20);
-            end if;
-            write_chnl_cnt <= '0';
-            use_old <= '0'; 
-           else -- new data
-             chnl_cnt_out   <= cnt_i;
-             write_chnl_cnt <= '1';
-             
-             if FPGA_next = FPGA_i and chnl_next = chnl_i then
-               use_old <= '1';
-             else
-               use_old <= '0';
-             end if;
-             
-             if use_old = '0' then
-               if chnl_cnt_in < cal_Limit then
-               cnt_i <= chnl_cnt_in + 1;
-               else
-                cnt_i <= to_unsigned(0,20);
-               end if;
-             else
-               if cnt_ii < cal_Limit then
-               cnt_i <= cnt_ii + 1;
-               else
-                cnt_i <= to_unsigned(0,20);
-               end if;
-             end if;
-           end if; --new data
-           
-           FPGA_out         <= FPGA;
-
-           FPGA_i           <= FPGA;
-           FPGA_ii         <= FPGA_i;
-
-          chnl_out         <= chnl;
-           chnl_i          <= chnl;
-           chnl_ii         <= chnl_i;
-
-           cnt_ii          <= cnt_i;
-          cnt_iii          <= cnt_ii;
-          
-          FPGA_out_write <= FPGA_i;
-          chnl_out_write <= chnl_i;
-          
-          FPGA_out_curr <= FPGA;
-          chnl_out_curr <= chnl;
-        else
-          write_chnl_cnt <= '0';
-        end if;
-     end if;
-   end process;
-   
-   
-   Mem_next : process(CLK)--DIN_in
-   begin
-    if rising_edge(CLK) then
-     if Do_Cal_in = '1' then
-       if new_data = '0' then -- old Data/ FPGA/CHNL
-         if cnt_i /= to_unsigned(0,20) then --next memory
-          if unsigned(DIN_in(21 downto 12)) > unsigned(max_next_i) then
-             max_next_i <= DIN_in(21 downto 12);   
-          end if; 
-          if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_i) then
-             min_next_i <= DIN_in(21 downto 12);   
-          end if; 
-        else
-          min_next_i   <= DIN_in(21 downto 12);--"1111111111";
-          max_next_i   <= DIN_in(21 downto 12);--"0000000000";
-        end if;
-        write_next <= '0';
-        min_next <= min_next_i;
-        max_next <= max_next_i;
-       else -- new data/FPGA/CHNL
-        write_next <= '1';
-        min_next <= min_next_i;
-        max_next <= max_next_i;
-        if use_old = '1' then
-           if cnt_ii /= to_unsigned(0,20) then
-               if unsigned(DIN_in(21 downto 12)) >= unsigned(max_next_ii) then
-                  max_next_i <= DIN_in(21 downto 12);
-                  if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_ii) then
-                     min_next_i <= DIN_in(21 downto 12);
-                  else
-                     min_next_i <= min_next_ii;
-                  end if; 
-               else
-                  max_next_i <= max_next_ii;
-                  if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
-                     min_next_i <= DIN_in(21 downto 12);
-                  else
-                     min_next_i <= min_next_ii;
-                  end if; 
-               end if;
-           else
-               min_next_i   <= DIN_in(21 downto 12);--"1111111111";
-               max_next_i   <= DIN_in(21 downto 12);--"0000000000";
-           end if;
-        else -- fpga/=fpga_ii and chnl_iii /= chnl
-           if chnl_cnt_in /= to_unsigned(0,20) then --next memory
-              if unsigned(DIN_in(21 downto 12)) >= unsigned(max_next_in) then
-                max_next_i <= DIN_in(21 downto 12);
-                if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
-                  min_next_i <= DIN_in(21 downto 12);
-                else
-                  min_next_i <= min_next_in;
-                end if; 
-              else
-                max_next_i <= max_next_in;
-                if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
-                  min_next_i <= DIN_in(21 downto 12);
-                else
-                  min_next_i <= min_next_in;
-                end if; 
-              end if;
-           else
-              min_next_i   <= DIN_in(21 downto 12);--"1111111111";
-              max_next_i   <= DIN_in(21 downto 12);--"0000000000";
-           end if; --/= 0
-       end if;
-       end if;--new data
-
-       min_next_ii  <= min_next_i;
-       max_next_ii  <= max_next_i;
-       min_next_iii <= min_next_ii;
-       max_next_iii <= max_next_ii;
-
-     else
-       write_next <= '0';
-     end if;  
-   end if;--rising_edge
-  end process;
-  
-  
-  Mem_curr : process(CLK)--DIN_in)
-  begin
-   if rising_edge(CLK) then
-     if default_val_in = '0' then
-       if Do_Cal_in = '1' then
-         if new_data = '0' then
-          if cnt_i /= to_unsigned(0,20) then
-             min_out <= min_curr_i;
-             max_out <= max_curr_i;
-             if (unsigned(min_curr_i) < unsigned(max_curr_i)) then
-               Delta_i <= std_logic_vector(unsigned(max_curr_i) - unsigned(min_curr_i));
-             else
-               Delta_i <= "0110110100";
-             end if;
-             min_curr <= min_curr_i;
-             max_curr <= max_curr_i;
-             write_curr <= '0';
-          else
-             min_out    <= min_next_i;
-             max_out    <= max_next_i;
-             min_curr_i <= min_next_i;
-             max_curr_i <= max_next_i;
-             min_curr <= min_next_i;
-             max_curr <= max_next_i;
-             if (unsigned(min_next_i) < unsigned(max_next_i)) then
-               Delta_i <= std_logic_vector(unsigned(max_next_i) - unsigned(min_next_i));
-             else
-               Delta_i <= "0110110100";
-             end if;  
-             write_curr <= '1';
-          end if;
-          
-          --min_curr <= min_curr_i;
-          --max_curr <= max_curr_i;
-        else  -- new data
-          if use_old = '1' then 
-               --min_curr   <= min_curr_i;
-               --max_curr   <= max_curr_i;
-             if cnt_ii = to_unsigned(0,20) then
-               write_curr <= '1';
-               min_curr_i <= min_next_ii;
-               max_curr_i <= max_next_ii;
-               min_out    <= min_next_ii;
-               max_out    <= max_next_ii;
-               min_curr   <= min_next_ii;
-               max_curr   <= max_next_ii;
-               if (unsigned(min_next_ii) < unsigned(max_next_ii)) then
-                 Delta_i <= std_logic_vector(unsigned(max_next_ii) - unsigned(min_next_ii));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-            else
-               min_curr_i <= min_curr_ii;
-               max_curr_i <= max_curr_ii;
-               min_out    <= min_curr_ii;
-               max_out    <= max_curr_ii;
-               min_curr   <= min_curr_ii;
-               max_curr   <= max_curr_ii;
-               if (unsigned(min_curr_ii) < unsigned(max_curr_ii)) then
-                 Delta_i <= std_logic_vector(unsigned(max_curr_ii) - unsigned(min_curr_ii));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-               write_curr <= '0';
-            end if;
-          else --use_old ='0'
-               --min_curr   <= min_curr_i;
-               --max_curr   <= max_curr_i;
-             if chnl_cnt_in = to_unsigned(0,20) then
-               write_curr <= '1';
-               min_curr_i <= min_next_in;
-               max_curr_i <= max_next_in;
-               min_out    <= min_next_in;
-               max_out    <= max_next_in;
-               min_curr   <= min_next_in;
-               max_curr   <= max_next_in;
-               if (unsigned(min_next_in) < unsigned(max_next_in)) then
-                 Delta_i <= std_logic_vector(unsigned(max_next_in) - unsigned(min_next_in));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-            else
-               min_curr_i <= min_curr_in;
-               max_curr_i <= max_curr_in;
-               min_out    <= min_curr_in;
-               max_out    <= max_curr_in;
-               min_curr   <= min_curr_in;
-               max_curr   <= max_curr_in;
-               if (unsigned(min_curr_in) < unsigned(max_curr_in)) then
-                 Delta_i <= std_logic_vector(unsigned(max_curr_in) - unsigned(min_curr_in));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-               write_curr <= '0';
-            end if;
-          end if;  
-         end if;
-       else -- no calibr
-         write_curr <= '0';
-       end if;
-     elsif default_val_in = '1' then
-     --FLASH
-        write_curr <= '1';
-        min_out    <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
-        max_out    <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
-        min_curr   <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
-        max_curr   <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
-        min_curr_i <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
-        max_curr_i <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
-        Delta_i    <= std_logic_vector(unsigned(def_value(0,to_integer(unsigned(chnl)))(19 downto 10)) - unsigned(def_value(0,to_integer(unsigned(chnl)))(9 downto 0)));
-     else
-         write_curr <= '0';
-         min_out    <= "0000000100";
-         max_out    <= "1000000000";
-         min_curr   <= "0000000100";
-         max_curr   <= "1000000000";
-         min_curr_i <= "0000000100";
-         max_curr_i <= "1000000000";
-         Delta_i    <= "0111111011";--"0111111110";
-     end if;--default value
-
-       min_curr_ii  <= min_curr_i;
-       max_curr_ii  <= max_curr_i;
-       min_curr_iii <= min_curr_ii;
-       max_curr_iii <= max_curr_ii;
-       --write_curr_ii   <= write_curr_i;
-       --write_curr  <= write_curr_i;
-       
-       --max_curr <= "1000000001";
-  end if;--rising_edge
- end process;
-   proc_Flash_input : process (CLK)
-   begin
-     if rising_edge(CLK) then
-       if Flash_flag = '1' then
-         def_value(0,to_integer(unsigned(BUS_Flash_value(26 downto 20))))( 19 downto  0) <= BUS_Flash_value(19 downto 0);
-         
-       end if;
-     end if;
-   end process;
-   --synchronous output
-   proc_slope : process (CLK)
-   begin
-     if rising_edge(CLK) then
-        DIN_out        <= DIN_in;
-        DIN_out_b_r    <= DIN_in_b_r;
-        DIN_out_data_w <= DIN_in_data_w;
-        DIN_out_data_f         <= DIN_in_data_f;
-        Do_Cal_out     <= Do_Cal_in;
-     end if;
-   end process;
-
-   Delta <= Delta_i;
-end Behavioral;
\ No newline at end of file
diff --git a/code/tdc_calib/Cal_Limits_v2.vhd~ b/code/tdc_calib/Cal_Limits_v2.vhd~
deleted file mode 100644 (file)
index 6ba180c..0000000
+++ /dev/null
@@ -1,389 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-library work;
-use work.trb_net_std.all;
-
-entity Cal_Limits_v2 is
-    generic (
-       cal_Limit_gen   : unsigned(19 downto 0) := "00000000000100000000"
-    );    
-    port (
-        CLK                    : in  std_logic;
-        cal_Limit_reg  : in  unsigned(19 downto 0);
-        DIN_in         : in  std_logic_vector(31 downto 0);
-        DIN_in_b_r     : in  std_logic;
-        DIN_in_data_w  : in  std_logic;
-        DIN_in_data_f  : in  std_logic;
-        min_curr_in            : in  std_logic_vector( 9 downto 0);
-        max_curr_in            : in  std_logic_vector( 9 downto 0);
-        min_next_in            : in  std_logic_vector( 9 downto 0);
-        max_next_in            : in  std_logic_vector( 9 downto 0);
-        FPGA                   : in  std_logic_vector( 3 downto 0);
-        FPGA_next      : in  std_logic_vector( 3 downto 0);
-        chnl                   : in  std_logic_vector( 6 downto 0);
-        chnl_next              : in  std_logic_vector( 6 downto 0);
-        Do_Cal_in              : in  std_logic;
---        default_val_in       : in  std_logic := '1';
-        chnl_cnt_in    : in  unsigned(19 downto 0);
-        new_data       : in  std_logic;
-        write_curr             : out std_logic;
-        write_next             : out std_logic;
-        min_next               : out std_logic_vector( 9 downto 0);
-        max_next               : out std_logic_vector( 9 downto 0);
-        min_curr               : out std_logic_vector( 9 downto 0);
-        max_curr               : out std_logic_vector( 9 downto 0);
-        min_out                : out std_logic_vector( 9 downto 0);
-        max_out                : out std_logic_vector( 9 downto 0);
-        Delta                  : out std_logic_vector( 9 downto 0);
-        FPGA_out               : out std_logic_vector( 3 downto 0);
-        chnl_out               : out std_logic_vector( 6 downto 0);
-        FPGA_out_curr          : out std_logic_vector( 3 downto 0);
-        chnl_out_curr  : out std_logic_vector( 6 downto 0);
-        DIN_out                : out std_logic_vector(31 downto 0);
-        DIN_out_b_r    : out std_logic;
-        DIN_out_data_w : out std_logic;
-        DIN_out_data_f : out std_logic;
-        Do_Cal_out             : out std_logic;
-        chnl_cnt_out   : out unsigned (19 downto 0);--:="00000000000000000000";
-        write_chnl_cnt  : out std_logic;
-        chnl_out_write  : out std_logic_vector( 6 downto 0);
-        FPGA_out_write  : out std_logic_vector( 3 downto 0);
-        cal_Limit_set   : out unsigned (19 downto 0);
-        BUS_Flash_value : in  std_logic_vector(27 downto 0);
-        Flash_flag     : in  std_logic
-    );
-end Cal_Limits_v2;
-
-architecture Behavioral of Cal_Limits_v2 is
-
-  signal FPGA_i      : std_logic_vector( 3 downto 0);
-  signal Delta_i     : std_logic_vector( 9 downto 0) := "0110110100"; 
-  signal min_curr_i  : std_logic_vector( 9 downto 0);
-  signal max_curr_i  : std_logic_vector( 9 downto 0);
-  signal min_next_i  : std_logic_vector( 9 downto 0);
-  signal max_next_i  : std_logic_vector( 9 downto 0);
-  signal min_curr_ii : std_logic_vector( 9 downto 0);
-  signal max_curr_ii : std_logic_vector( 9 downto 0);
-  signal min_next_ii : std_logic_vector( 9 downto 0);
-  signal max_next_ii : std_logic_vector( 9 downto 0);
-  signal cnt_i      : unsigned(19 downto 0):="00000000000000000000";
-  signal cnt_ii             : unsigned(19 downto 0):="00000000000000000000";
-  signal chnl_i      : std_logic_vector( 6 downto 0);
-  signal use_old     : std_logic:='0';
-  signal cal_Limit   : unsigned(19 downto 0):="00011000011010100000";
-  
-  type array2D is array (1 downto 0, 0 to 64) of std_logic_vector(19 downto 0); --(FPGA)(channel)
-  signal def_value : array2D := (others => ("10000000010000000010","10000000100000000010","10000000110000000010","10000001000000000010",
-                                           "10000001010000000010","10000001100000000010","10000001110000000010","10000010000000000010",
-                                           "10000010010000000010","10000010100000000010","10000010110000000010","10000011000000000010",
-                                           "10000011010000000010","10000011100000000010", others => "11111000010000001111" ));
-  
-  type bit_2D is array (16 downto 0, 63 downto 0) of std_logic; --(channel)
-  signal dflt_i   : bit_2D := (others => (others => '1'));
-  
-begin
-
-   Limit : process (CLK)
-   begin
-    if rising_edge(CLK) then 
-    
-      if (cal_Limit_reg <= cal_Limit_gen) then
-         cal_Limit     <= cal_Limit_gen;
-         cal_Limit_set <= cal_Limit_gen;
-      else
-         cal_Limit     <= cal_Limit_reg;
-         cal_Limit_set <= cal_Limit_reg;
-      end if;
-    
-    end if;
-   end process;
-
-   Count : process (CLK)
-   begin
-     if rising_edge(CLK) then
-        if Do_Cal_in = '1' then
-           if new_data = '0' then
-             if cnt_i < cal_Limit then
-               cnt_i        <= cnt_i + 1;
-               chnl_cnt_out <= cnt_i + 1;
-            else
-               cnt_i <= to_unsigned(0,20);
-               chnl_cnt_out <= to_unsigned(0,20);
-               dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) <= '0';
-            end if;
-            write_chnl_cnt <= '0';
-            use_old <= '0'; 
-           else -- new data
-             chnl_cnt_out   <= cnt_i;
-             write_chnl_cnt <= '1';
-             
-             if FPGA_next = FPGA_i and chnl_next = chnl_i then
-               use_old <= '1';
-             else
-               use_old <= '0';
-             end if;
-             
-             if use_old = '0' then
-               if chnl_cnt_in < cal_Limit then
-               cnt_i <= chnl_cnt_in + 1;
-               else
-                cnt_i <= to_unsigned(0,20);
-                dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) <= '0';
-               end if;
-             else
-               if cnt_ii < cal_Limit then
-               cnt_i <= cnt_ii + 1;
-               else
-                cnt_i <= to_unsigned(0,20);
-                dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) <= '0';
-               end if;
-             end if;
-           end if; --new data
-           
-           FPGA_out         <= FPGA;
-           FPGA_i           <= FPGA;
-          chnl_out         <= chnl;
-           chnl_i          <= chnl;
-           cnt_ii          <= cnt_i; 
-          FPGA_out_write   <= FPGA_i;
-          chnl_out_write   <= chnl_i;
-          FPGA_out_curr    <= FPGA;
-          chnl_out_curr    <= chnl;
-        else
-           write_chnl_cnt   <= '0';
-        end if;
-     end if;
-   end process;
-   
-   
-   Mem_next : process(CLK)--DIN_in
-   begin
-    if rising_edge(CLK) then
-     if Do_Cal_in = '1' then
-       if new_data = '0' then -- old Data/ FPGA/CHNL
-         if cnt_i /= to_unsigned(0,20) then --next memory
-          if unsigned(DIN_in(21 downto 12)) > unsigned(max_next_i) then
-             max_next_i <= DIN_in(21 downto 12);   
-          end if; 
-          if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_i) then
-             min_next_i <= DIN_in(21 downto 12);   
-          end if; 
-        else
-          min_next_i   <= DIN_in(21 downto 12);--"1111111111";
-          max_next_i   <= DIN_in(21 downto 12);--"0000000000";
-        end if;
-        write_next <= '0';
-        min_next <= min_next_i;
-        max_next <= max_next_i;
-       else -- new data/FPGA/CHNL
-        write_next <= '1';
-        min_next <= min_next_i;
-        max_next <= max_next_i;
-        if use_old = '1' then
-           if cnt_ii /= to_unsigned(0,20) then
-               if unsigned(DIN_in(21 downto 12)) >= unsigned(max_next_ii) then
-                  max_next_i <= DIN_in(21 downto 12);
-                  if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_ii) then
-                     min_next_i <= DIN_in(21 downto 12);
-                  else
-                     min_next_i <= min_next_ii;
-                  end if; 
-               else
-                  max_next_i <= max_next_ii;
-                  if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
-                     min_next_i <= DIN_in(21 downto 12);
-                  else
-                     min_next_i <= min_next_ii;
-                  end if; 
-               end if;
-           else
-               min_next_i   <= DIN_in(21 downto 12);--"1111111111";
-               max_next_i   <= DIN_in(21 downto 12);--"0000000000";
-           end if;
-        else -- fpga/=fpga_ii and chnl_iii /= chnl
-           if chnl_cnt_in /= to_unsigned(0,20) then --next memory
-              if unsigned(DIN_in(21 downto 12)) >= unsigned(max_next_in) then
-                max_next_i <= DIN_in(21 downto 12);
-                if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
-                  min_next_i <= DIN_in(21 downto 12);
-                else
-                  min_next_i <= min_next_in;
-                end if; 
-              else
-                max_next_i <= max_next_in;
-                if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
-                  min_next_i <= DIN_in(21 downto 12);
-                else
-                  min_next_i <= min_next_in;
-                end if; 
-              end if;
-           else
-              min_next_i   <= DIN_in(21 downto 12);--"1111111111";
-              max_next_i   <= DIN_in(21 downto 12);--"0000000000";
-           end if; --/= 0
-       end if;
-       end if;--new data
-
-       min_next_ii  <= min_next_i;
-       max_next_ii  <= max_next_i;
-
-     else
-       write_next <= '0';
-     end if;  
-   end if;--rising_edge
-  end process;
-  
-  
-  Mem_curr : process(CLK)--DIN_in)
-  begin
-   if rising_edge(CLK) then
-     if dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) = '0' then
-       if Do_Cal_in = '1' then
-         if new_data = '0' then
-          if cnt_i /= to_unsigned(0,20) then
-             min_out <= min_curr_i;
-             max_out <= max_curr_i;
-             if (unsigned(min_curr_i) < unsigned(max_curr_i)) then
-               Delta_i <= std_logic_vector(unsigned(max_curr_i) - unsigned(min_curr_i));
-             else
-               Delta_i <= "0110110100";
-             end if;
-             min_curr <= min_curr_i;
-             max_curr <= max_curr_i;
-             write_curr <= '0';
-          else
-             min_out    <= min_next_i;
-             max_out    <= max_next_i;
-             min_curr_i <= min_next_i;
-             max_curr_i <= max_next_i;
-             min_curr <= min_next_i;
-             max_curr <= max_next_i;
-             if (unsigned(min_next_i) < unsigned(max_next_i)) then
-               Delta_i <= std_logic_vector(unsigned(max_next_i) - unsigned(min_next_i));
-             else
-               Delta_i <= "0110110100";
-             end if;  
-             write_curr <= '1';
-          end if;
-          
-          --min_curr <= min_curr_i;
-          --max_curr <= max_curr_i;
-        else  -- new data
-          if use_old = '1' then 
-               --min_curr   <= min_curr_i;
-               --max_curr   <= max_curr_i;
-             if cnt_ii = to_unsigned(0,20) then
-               write_curr <= '1';
-               min_curr_i <= min_next_ii;
-               max_curr_i <= max_next_ii;
-               min_out    <= min_next_ii;
-               max_out    <= max_next_ii;
-               min_curr   <= min_next_ii;
-               max_curr   <= max_next_ii;
-               if (unsigned(min_next_ii) < unsigned(max_next_ii)) then
-                 Delta_i <= std_logic_vector(unsigned(max_next_ii) - unsigned(min_next_ii));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-            else
-               min_curr_i <= min_curr_ii;
-               max_curr_i <= max_curr_ii;
-               min_out    <= min_curr_ii;
-               max_out    <= max_curr_ii;
-               min_curr   <= min_curr_ii;
-               max_curr   <= max_curr_ii;
-               if (unsigned(min_curr_ii) < unsigned(max_curr_ii)) then
-                 Delta_i <= std_logic_vector(unsigned(max_curr_ii) - unsigned(min_curr_ii));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-               write_curr <= '0';
-            end if;
-          else --use_old ='0'
-               --min_curr   <= min_curr_i;
-               --max_curr   <= max_curr_i;
-             if chnl_cnt_in = to_unsigned(0,20) then
-               write_curr <= '1';
-               min_curr_i <= min_next_in;
-               max_curr_i <= max_next_in;
-               min_out    <= min_next_in;
-               max_out    <= max_next_in;
-               min_curr   <= min_next_in;
-               max_curr   <= max_next_in;
-               if (unsigned(min_next_in) < unsigned(max_next_in)) then
-                 Delta_i <= std_logic_vector(unsigned(max_next_in) - unsigned(min_next_in));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-            else
-               min_curr_i <= min_curr_in;
-               max_curr_i <= max_curr_in;
-               min_out    <= min_curr_in;
-               max_out    <= max_curr_in;
-               min_curr   <= min_curr_in;
-               max_curr   <= max_curr_in;
-               if (unsigned(min_curr_in) < unsigned(max_curr_in)) then
-                 Delta_i <= std_logic_vector(unsigned(max_curr_in) - unsigned(min_curr_in));
-               else
-                 Delta_i <= "0110110100";
-               end if;
-               write_curr <= '0';
-            end if;
-          end if;  
-         end if;
-       else -- no calibr
-         write_curr <= '0';
-       end if;
-     elsif dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) = '1' then
-     --FLASH
-        write_curr <= '1';
-        min_out    <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
-        max_out    <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
-        min_curr   <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
-        max_curr   <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
-        min_curr_i <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
-        max_curr_i <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
-        Delta_i    <= std_logic_vector(unsigned(def_value(0,to_integer(unsigned(chnl)))(19 downto 10)) - unsigned(def_value(0,to_integer(unsigned(chnl)))(9 downto 0)));
-     else
-         write_curr <= '0';
-         min_out    <= "0000000100";
-         max_out    <= "1000000000";
-         min_curr   <= "0000000100";
-         max_curr   <= "1000000000";
-         min_curr_i <= "0000000100";
-         max_curr_i <= "1000000000";
-         Delta_i    <= "0111111011";--"0111111110";
-     end if;--default value
-
-       min_curr_ii  <= min_curr_i;
-       max_curr_ii  <= max_curr_i;
-
-  end if;--rising_edge
- end process;
-   proc_Flash_input : process (CLK)
-   begin
-     if rising_edge(CLK) then
-       if Flash_flag = '1' then
-         def_value(0,to_integer(unsigned(BUS_Flash_value(27 downto 20))))( 19 downto  0) <= BUS_Flash_value(19 downto 0);
-         
-       end if;
-     end if;
-   end process;
-   --synchronous output
-   proc_slope : process (CLK)
-   begin
-     if rising_edge(CLK) then
-        DIN_out        <= DIN_in;
-        DIN_out_b_r    <= DIN_in_b_r;
-        DIN_out_data_w <= DIN_in_data_w;
-        DIN_out_data_f         <= DIN_in_data_f;
-        Do_Cal_out     <= Do_Cal_in;
-     end if;
-   end process;
-
-   Delta <= Delta_i;
-end Behavioral;
\ No newline at end of file
diff --git a/code/tdc_calib/Calc_output.vhd~ b/code/tdc_calib/Calc_output.vhd~
deleted file mode 100644 (file)
index 0de799d..0000000
+++ /dev/null
@@ -1,58 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-
-entity calc_output is
-    Port (       
-        CLK           : in  std_logic;
-        DIN_in                : in  std_logic_vector(31 downto 0);
-        DIN_in_b_r     : in  std_logic;
-        DIN_in_data_w  : in  std_logic;
-        DIN_in_data_f  : in  std_logic;
-        --min_in         : in  std_logic_vector( 9 downto 0);
-        --max_in         : in  std_logic_vector( 9 downto 0);
-        do_cal_in      : in  std_logic;
-        overshoot_in   : in std_logic := '0';
-        undershoot_in  : in std_logic := '0';
-        slope          : in std_logic_vector(11 downto 0);
-        factor         : in std_logic_vector( 9 downto 0);
-        
-        DIN_out               : out std_logic_vector(31 downto 0);
-        DIN_out_b_r    : out std_logic;
-        DIN_out_data_w : out std_logic;
-        DIN_out_data_f : out std_logic;
-        --min_out        : out std_logic_vector( 9 downto 0);
-        --max_out        : out std_logic_vector( 9 downto 0);
-        do_cal_out     : out std_logic;
-        overshoot_out  : out std_logic := '0';
-        undershoot_out : out std_logic := '0';
-        Cal_Data_out   : out std_logic_vector(21 downto 0)
-          );
-end calc_output;
-
-architecture Behavioral of calc_output is
-
-  --type unsigned_2D is array (15 downto 0, 63 downto 0) of unsigned (19 downto 0); --(channel)
-  --signal cal_cnt_i   : unsigned_2D := (others => (others => "00000000000000000000"));
-  
-begin
- cnt : process (CLK,factor,slope)
- begin
-  if rising_edge(CLK) then
-   
-   Cal_Data_out    <= std_logic_vector( (unsigned(factor) * unsigned(slope)) + to_unsigned(500,9));
-
-   
-   DIN_out        <= DIN_in;
-   DIN_out_b_r    <= DIN_in_b_r;
-   DIN_out_data_w  <= DIN_in_data_w;
-   DIN_out_data_f  <= DIN_in_data_f;
-   do_cal_out     <= do_cal_in;
-   
-   overshoot_out   <= overshoot_in;
-   undershoot_out  <= undershoot_in;
-   
-  end if; 
- end process;
-
-end Behavioral;
diff --git a/code/tdc_calib/Calibration.vhd~ b/code/tdc_calib/Calibration.vhd~
deleted file mode 100644 (file)
index dc178fe..0000000
+++ /dev/null
@@ -1,446 +0,0 @@
-----------------------------------------------------------------------------------
--- Company: JLU Giessen
--- Engineer: Adrian Weber
--- 
--- Create Date: 06.01.2017 13:32:05
--- Module Name: Calibration - Behavioral
--- Project Name: TDC Calibration
--- Target Devices: TrbSc
-----------------------------------------------------------------------------------
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-library work;
-use work.trb_net_std.all;
-
-entity Calibration is
-    Port ( 
-        CLK      : in  std_logic;
-        DIN      : in  READOUT_TX;
-        DOUT     : out READOUT_TX;
-        BUS_RX   : in  CTRLBUS_RX;
-        BUS_TX   : out CTRLBUS_TX
-    );
-end Calibration;
-
-architecture Behavioral of Calibration is
-  signal Dout_int                      : std_logic_vector(21 downto 0);
-  signal DIN_i_Mem                     : std_logic_vector(31 downto 0) := (others => '0');
-  signal DIN_i_Mem_b_r                 : std_logic;
-  signal DIN_i_Mem_data_w              : std_logic;
-  signal DIN_i_Mem_data_f              : std_logic;
-  signal Default_val_Mem               : std_logic;
-  signal DIN_o_Lim                     : std_logic_vector(31 downto 0) := (others => '0');
-  signal DIN_o_Lim_b_r                 : std_logic;
-  signal DIN_o_Lim_data_w              : std_logic;
-  signal DIN_o_Lim_data_f              : std_logic; 
-  signal min_out_Lim                   : std_logic_vector( 9 downto 0) := (others => '0');
-  signal max_out_Lim                   : std_logic_vector( 9 downto 0) := (others => '0');
-  signal Delta_Lim                     : std_logic_vector( 9 downto 0) := "0110110100";
-  signal min_next_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
-  signal max_next_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
-  signal min_curr_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
-  signal max_curr_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
-  signal FPGA_Lim                      : std_logic_vector( 3 downto 0) ;
-  signal chnl_Lim                      : std_logic_vector( 6 downto 0) := (others => '0');
-  signal do_cal_LIM                    : std_logic;
-  signal DIN_o_LUT                     : std_logic_vector(31 downto 0) := (others => '0');
-  signal DIN_o_LUT_b_r                 : std_logic;
-  signal DIN_o_LUT_data_w              : std_logic;
-  signal DIN_o_LUT_data_f              : std_logic;
-  signal min_out_LUT                   : std_logic_vector( 9 downto 0) := (others => '0');
-  signal max_out_LUT                   : std_logic_vector( 9 downto 0) := (others => '1');
-  signal Delta_LUT                     : std_logic_vector( 9 downto 0) := (others => '0');
-  signal slope_LUT                     : std_logic_vector(11 downto 0) := (others => '0');
-  signal cal_flag_LUT                  : std_logic := '0';
-  signal cal_flag_LUT_out              : std_logic := '0';
-  signal FPGA_in_LUT                   : std_logic_vector( 3 downto 0);
-  signal read_next                     : std_logic;
-  signal write_next                    : std_logic;
-  signal read_vld_next                 : std_logic; 
-  signal write_vld_next                : std_logic;   
-  signal FPGA_next                     : std_logic_vector( 3 downto 0);
-  signal chnl_next                     : std_logic_vector( 6 downto 0);
-  signal min_next                      : std_logic_vector( 9 downto 0);
-  signal max_next                      : std_logic_vector( 9 downto 0);
-  signal min_in_next                   : std_logic_vector( 9 downto 0);
-  signal max_in_next                   : std_logic_vector( 9 downto 0); 
-  signal read_curr                     : std_logic;    
-  signal write_curr                    : std_logic;
-  signal FPGA_curr                     : std_logic_vector( 3 downto 0);
-  signal chnl_curr                     : std_logic_vector( 6 downto 0);
-  signal min_curr                      : std_logic_vector( 9 downto 0);
-  signal max_curr                      : std_logic_vector( 9 downto 0);
-  signal min_in_curr                   : std_logic_vector( 9 downto 0);
-  signal max_in_curr                   : std_logic_vector( 9 downto 0); 
-  signal factor                        : std_logic_vector( 9 downto 0);
-  signal DIN_o_cnt                     : std_logic_vector(31 downto 0);
-  signal DIN_o_cnt_b_r                 : std_logic;
-  signal DIN_o_cnt_data_w              : std_logic;
-  signal DIN_o_cnt_data_f              : std_logic;
-  signal FPGA_o_cnt                    : std_logic_vector( 3 downto 0);
-  signal read_cal_cnt                  : std_logic;
-  signal read_dflt_cnt                 : std_logic;
-  signal chnl_read_cnt                 : std_logic_vector( 6 downto 0);
-  signal write_cal_cnt                         : std_logic;
-  signal write_dflt_cnt                        : std_logic;
-  signal dflt_cnt_in                   : std_logic;
-  signal dflt_cnt_out                          : std_logic;
-  signal cal_cnt_in                    : unsigned(19 downto 0);
-  signal cal_cnt_out                   : unsigned(19 downto 0);   
-  signal FPGA_cnt_val                  : std_logic_vector( 3 downto 0);
-  signal chnl_cnt_val                  : std_logic_vector( 6 downto 0);
-  signal DIN_o_cnt_val                 : std_logic_vector(31 downto 0);
-  signal DIN_o_cnt_val_b_r             : std_logic;
-  signal DIN_o_cnt_val_data_w          : std_logic;
-  signal DIN_o_cnt_val_data_f          : std_logic;
-  signal DIN_MemCurr_data              : std_logic_vector(31 downto 0);
-  signal DIN_MemCurr_b_r               : std_logic;
-  signal DIN_MemCurr_data_w            : std_logic;
-  signal DIN_MemCurr_data_f            : std_logic;
-  signal Do_Cal_read_cnt               : std_logic;
-  signal Do_Cal_compare_old            : std_logic;
-  signal DIN_out_data_compare_old      : std_logic_vector(31 downto 0);
-  signal DIN_out_b_r_compare_old       : std_logic;
-  signal DIN_out_data_w_compare_old    : std_logic;
-  signal DIN_out_data_f_compare_old    : std_logic;
-  signal read_compare_old              : std_logic;
-  signal CHNL_out_compare_old          : std_logic_vector( 6 downto 0);
-  signal FPGA_out_compare_old          : std_logic_vector( 3 downto 0);
-  signal CHNL_out_Memory               : std_logic_vector( 6 downto 0);
-  signal FPGA_out_Memory               : std_logic_vector( 3 downto 0);
-  signal Do_Cal_Memory                 : std_logic;
-  signal write_chnl_cnt                        : std_logic;
-  signal new_data_Memory               : std_logic;
-  signal chnl_out_write                        : std_logic_vector( 6 downto 0);
-  signal FPGA_out_write                        : std_logic_vector( 3 downto 0);
-  signal BUS_do_Cal                    : std_logic := '1';
-  signal overshoot_LUT                 : std_logic := '0';
-  signal undershoot_LUT                        : std_logic := '0';
-  signal Cal_Limit_reg                 : unsigned(19 downto 0) := "00011000011010100000";
-  signal cal_Limit_set                 : unsigned(19 downto 0);    
-  signal Do_cal_CalcOut                        : std_logic := '0';
-  signal DIN_o_CalcOut                 : std_logic_vector(31 downto 0);
-  signal DIN_o_CalcOut_b_r             : std_logic;
-  signal DIN_o_CalcOut_data_w          : std_logic;
-  signal DIN_o_CalcOut_data_f          : std_logic;
-  signal overshoot_CalcOut             : std_logic := '0';
-  signal undershoot_CalcOut            : std_logic := '0';
-  signal Bus_Chnl                      : std_logic_vector( 6 downto 0);
-  signal Bus_min                       : std_logic_vector( 9 downto 0);
-  signal Bus_max                       : std_logic_vector( 9 downto 0); 
-  signal FPGA_out_curr                         : std_logic_vector( 3 downto 0);
-  signal chnl_out_curr                         : std_logic_vector( 6 downto 0);
-  signal BUS_Flash_value               : std_logic_vector(27 downto 0);
-  signal Flash_flag                    : std_logic;
-  
-begin
-
---BUS Handler
-proc_reg : process begin
-  wait until rising_edge(CLK);
-  BUS_TX.ack     <= '0';
-  BUS_TX.nack    <= '0';
-  BUS_TX.unknown <= '0';
-  Flash_flag    <= '0';
-  
-  if BUS_RX.write = '1' then
-    BUS_TX.ack <= '1';
-    if BUS_RX.addr(11 downto 0) > x"006" and BUS_RX.addr(11 downto 0) < x"048" then
-       BUS_Flash_value <= std_logic_vector(unsigned(BUS_RX.addr(7 downto 0))-7) & BUS_RX.data(19 downto 10) & BUS_RX.data(9 downto 0);
-       Flash_flag <= '1';
-    else
-      case BUS_RX.addr(11 downto 0) is
-       when x"000"   => BUS_do_Cal    <= BUS_RX.data(0);                       -- change between w/ and w/o FPGA based Calibration
-       when x"001"   => Cal_Limit_reg <= unsigned(BUS_RX.data(19 downto 0));   -- Set Maximum Value for Calibration Counter
-       when x"003"   => Bus_Chnl      <= BUS_RX.data(6 downto 0);              -- set channel for Min/Max Output
-       when others   => BUS_TX.ack    <= '0'; BUS_TX.unknown <= '1';
-      end case;
-    end if;  
-  elsif BUS_RX.read = '1' then
-    BUS_TX.ack <= '1';
-    case BUS_RX.addr(11 downto 0) is
-      when x"000"   => BUS_TX.data(31 downto  1) <= "0000000000000000000000000000000";
-                      BUS_TX.data(0) <= BUS_do_Cal;
-      when x"001"   => BUS_TX.data(31 downto 20) <= "000000000000";
-                      BUS_TX.data(19 downto  0) <= std_logic_vector(cal_Limit_set);
-      when x"004"   => BUS_TX.data(31 downto 10) <= "0000000000000000000000";
-                      BUS_TX.data( 9 downto  0) <= Bus_min;
-      when x"005"   => BUS_TX.data(31 downto 10) <= "0000000000000000000000";
-                      BUS_TX.data( 9 downto  0) <= Bus_max;
-      when others   => BUS_TX.ack <= '0'; BUS_TX.unknown <= '1';
-    end case;
-  end if;
-end process;
-
-
-
-read_cntr : entity work.read_cnt
-   port map(
-       CLK             => CLK,
-       chnl            => chnl_read_cnt,
-       FPGA_out        => FPGA_o_cnt,
-       DIN_in          => DIN_i_Mem,
-       DIN_in_b_r      => DIN_i_Mem_b_r,
-       DIN_in_data_w   => DIN_i_Mem_data_w,
-       DIN_in_data_f   => DIN_i_Mem_data_f,
-       DIN_out         => DIN_o_cnt,
-       DIN_out_b_r     => DIN_o_cnt_b_r,
-       DIN_out_data_w  => DIN_o_cnt_data_w,
-       DIN_out_data_f  => DIN_o_cnt_data_f,
-       Do_Cal          => Do_Cal_read_cnt
-   );
-
- ent_compare_old : entity work.compare_old
-   port map(
-      CLK              => CLK,
-      DIN_in           => DIN_o_cnt,
-      DIN_in_b_r       => DIN_o_cnt_b_r,
-      DIN_in_data_w    => DIN_o_cnt_data_w,
-      DIN_in_data_f    => DIN_o_cnt_data_f,
-      FPGA_in          => FPGA_o_cnt,
-      CHNL_in          => chnl_read_cnt,
-      Do_Cal_in                => Do_Cal_read_cnt,
-      CHNL_out         => CHNL_out_compare_old,
-      FPGA_out                 => FPGA_out_compare_old,
-      DIN_out          => DIN_out_data_compare_old,
-      DIN_out_b_r      => DIN_out_b_r_compare_old,
-      DIN_out_data_w   => DIN_out_data_w_compare_old,
-      DIN_out_data_f   => DIN_out_data_f_compare_old,
-      Do_Cal_out       => Do_Cal_compare_old,
-      read             => read_compare_old   
-   );
-   
-ent_cnt_val : entity work.cnt_val
-   port map(
-      CLK           => CLK,
-      read           => read_compare_old,
-      write          => write_chnl_cnt,
-      FPGA_read      => FPGA_out_compare_old,
-      chnl_read      => CHNL_out_compare_old,
-      FPGA_write     => FPGA_out_write,
-      chnl_write     => chnl_out_write,
-      cal_cnt        => cal_cnt_in, 
-      cal_cnt_out    => cal_cnt_out,
-      DIN_in        => DIN_out_data_compare_old,
-      DIN_in_b_r     => DIN_out_b_r_compare_old,
-      DIN_in_data_w  => DIN_out_data_w_compare_old,
-      DIN_in_data_f  => DIN_out_data_f_compare_old,
-      DIN_out       => DIN_o_cnt_val,
-      DIN_out_b_r    => DIN_o_cnt_val_b_r,
-      DIN_out_data_w => DIN_o_cnt_val_data_w,
-      DIN_out_data_f => DIN_o_cnt_val_data_f     
-   );
-
-ent_dflt_val : entity work.dflt_val
-   port map(
-      CLK         => CLK,
-      read         => read_compare_old,
-      FPGA_read    => FPGA_out_compare_old,
-      chnl_read           => CHNL_out_compare_old,
-      dflt_out     => Default_val_Mem
-   );
-   
-
- Mem_next : entity work.Memory
-   port map(
-    CLK                => CLK,
-    read       => read_compare_old,
-    write      => write_next,
-    FPGA_read   => FPGA_out_compare_old,
-    chnl_read   => CHNL_out_compare_old,
-    FPGA_write  => FPGA_out_write,
-    chnl_write  => chnl_out_write,
-    min        => min_next_Lim,
-    max        => max_next_Lim,
-    min_out    => min_next,
-    max_out    => max_next,
-    Do_Cal_in  => Do_Cal_compare_old,
-    DIN_data    => DIN_out_data_compare_old,
-    DIN_b_r     => DIN_out_b_r_compare_old,
-    DIN_data_w  => DIN_out_data_w_compare_old,
-    DIN_data_f  => DIN_out_data_f_compare_old
-  );
-
- Mem_curr : entity work.Memory_curr
-  port map(
-    CLK                => CLK,
-    read        => read_compare_old,
-    write       => write_curr,
-    FPGA_read   => FPGA_out_compare_old,
-    chnl_read   => CHNL_out_compare_old,
-    FPGA_write  => FPGA_out_curr,
-    chnl_write  => chnl_out_curr,
-    min         => min_curr_Lim,
-    max         => max_curr_Lim,
-    min_out     => min_curr,
-    max_out     => max_curr,
-    Do_Cal_in  => Do_Cal_compare_old,
-    DIN_data    => DIN_out_data_compare_old,
-    DIN_b_r     => DIN_out_b_r_compare_old,
-    DIN_data_w  => DIN_out_data_w_compare_old,
-    DIN_data_f  => DIN_out_data_f_compare_old,
-    DOUT_data   => DIN_MemCurr_data,
-    DOUT_b_r    => DIN_MemCurr_b_r,
-    DOUT_data_w => DIN_MemCurr_data_w,
-    DOUT_data_f => DIN_MemCurr_data_f,
-    FPGA_out   => FPGA_out_Memory,
-    CHNL_out   => CHNL_out_Memory,
-    Do_Cal_out => Do_Cal_Memory,
-    new_data   => new_data_Memory
-  );
-
- Cal_Limits : entity work.Cal_Limits_v2
-    generic map(
-       cal_Limit_gen   => "00000010011100010000" -- 10.000
-    )
-    port map(
-        CLK                    => CLK,
-        cal_Limit_reg  => Cal_Limit_reg,
-        DIN_in                 => DIN_MemCurr_data,
-        DIN_in_b_r             => DIN_MemCurr_b_r,
-        DIN_in_data_w          => DIN_MemCurr_data_w,
-        DIN_in_data_f          => DIN_MemCurr_data_f,
-        min_curr_in            => min_curr,
-        max_curr_in            => max_curr,
-        min_next_in            => min_next,
-        max_next_in            => max_next,
-        FPGA                   => FPGA_out_Memory,
-        FPGA_next              => FPGA_out_compare_old,
-        chnl                   => CHNL_out_Memory,
-        chnl_next              => CHNL_out_compare_old,
-        Do_Cal_in              => Do_Cal_Memory,
-        chnl_cnt_in            => cal_cnt_out,
-        new_data       => new_data_Memory,
-        write_curr             => write_curr,
-        write_next             => write_next,
-        min_next               => min_next_Lim,
-        max_next               => max_next_Lim,
-        min_curr               => min_curr_Lim,
-        max_curr               => max_curr_Lim,
-        min_out                => min_out_Lim,
-        max_out                => max_out_Lim,
-        Delta                  => Delta_Lim,
-        FPGA_out               => FPGA_Lim,
-        chnl_out               => chnl_Lim,
-        DIN_out                => DIN_o_Lim,
-        DIN_out_b_r            => DIN_o_Lim_b_r,
-        DIN_out_data_w         => DIN_o_Lim_data_w,
-        DIN_out_data_f         => DIN_o_Lim_data_f,
-        Do_Cal_out             => do_cal_LIM,
-        chnl_cnt_out   => cal_cnt_in,
-        write_chnl_cnt  => write_chnl_cnt,
-        chnl_out_write  => chnl_out_write,
-        FPGA_out_write  => FPGA_out_write,
-        FPGA_out_curr          => FPGA_out_curr,
-        chnl_out_curr  => chnl_out_curr,
-        cal_Limit_set   => cal_Limit_set,
-        BUS_Flash_value => BUS_Flash_value,
-        Flash_flag     => Flash_flag
-    );
-    
- LUTs : entity work.LUT
-    port map(
-        CLK            => CLK,
-        DIN_in         => DIN_o_Lim,
-        DIN_in_b_r     => DIN_o_Lim_b_r,
-        DIN_in_data_w  => DIN_o_Lim_data_w,
-        DIN_in_data_f  => DIN_o_Lim_data_f,
-        Delta          => Delta_Lim,
-        min_in         => min_out_Lim,
-        max_in         => max_out_Lim,
-        do_cal_in      => do_cal_LIM,
-        FPGA_in        => FPGA_Lim,
-        chnl           => chnl_Lim,
-        min_out        => min_out_LUT,
-        max_out        => max_out_LUT,
-        DIN_out        => DIN_o_LUT,
-        DIN_out_b_r    => DIN_o_LUT_b_r,
-        DIN_out_data_w => DIN_o_LUT_data_w,
-        DIN_out_data_f => DIN_o_LUT_data_f,
-        slope          => slope_LUT,
-        do_cal_out     => cal_flag_LUT_out,
-        factor         => factor,
-        overshoot      => overshoot_LUT,
-        undershoot     => undershoot_LUT
-    );
-    
- Calc_Output : entity work.calc_output
-    port map(
-        CLK           => CLK,
-        DIN_in                => DIN_o_LUT,
-        DIN_in_b_r     => DIN_o_LUT_b_r,
-        DIN_in_data_w  => DIN_o_LUT_data_w,
-        DIN_in_data_f  => DIN_o_LUT_data_f,
-        do_cal_in      => cal_flag_LUT_out,
-        overshoot_in   => overshoot_LUT,
-        undershoot_in  => undershoot_LUT,
-        slope          => slope_LUT,
-        factor         => factor,
-        DIN_out               => DIN_o_CalcOut,
-        DIN_out_b_r    => DIN_o_CalcOut_b_r,
-        DIN_out_data_w => DIN_o_CalcOut_data_w,
-        DIN_out_data_f => DIN_o_CalcOut_data_f,
-        do_cal_out     => Do_cal_CalcOut,
-        overshoot_out  => overshoot_CalcOut,
-        undershoot_out => undershoot_CalcOut,
-        Cal_Data_out   => Dout_int
-    );
-    
-
-  DIN_i_Mem         <= DIN.data;
-  DIN_i_Mem_b_r     <= DIN.busy_release;
-  DIN_i_Mem_data_w  <= DIN.data_write;
-  DIN_i_Mem_data_f  <= DIN.data_finished;
-  
-  fine_out : process (CLK, Do_cal_CalcOut, slope_LUT, factor)
-  begin  
-  if rising_edge(CLK) then
-
-    if ((Do_cal_CalcOut = '1') and (BUS_do_Cal = '1')) then
-        DOUT.data(31 downto 22) <= DIN_o_CalcOut(31 downto 22);
-       DOUT.data(11 downto  0) <= DIN_o_CalcOut(11 downto  0);
-        if ((overshoot_CalcOut = '0') and (undershoot_CalcOut = '0')) then
-         DOUT.data(21 downto 12) <= Dout_int(19 downto 10);
-       elsif (undershoot_CalcOut = '1') and (overshoot_CalcOut = '0') then
-         DOUT.data(21 downto 12) <= "1111110010";  --1010
-       elsif (undershoot_CalcOut = '0') and (overshoot_CalcOut = '1') then
-         DOUT.data(21 downto 12) <= "1111110111";  --1015
-       else
-         DOUT.data(21 downto 12) <= "1111111100";  --1020
-        end if;
-    else
-        DOUT.data               <= DIN_o_CalcOut;
-    end if;
-    
-    DOUT.busy_release   <= DIN_o_CalcOut_b_r;
-    DOUT.data_write    <= DIN_o_CalcOut_data_w;
-    DOUT.data_finished  <= DIN_o_CalcOut_data_f;
-  end if;
-  end process;
-  
-  
-  debug : process (CLK)
-  begin 
-    if rising_edge(CLK) then
-     if unsigned(Bus_Chnl) = unsigned(chnl_Lim) then
-       Bus_min <= min_out_Lim;
-       Bus_max <= max_out_Lim;
-     end if;
-    end if;
-  end process;
-
-  
-  TX_statusbits : process (CLK)
-  begin
-  if rising_edge(CLK) then
-    if (DIN.busy_release = '1') then
-      DOUT.statusbits <= DIN.statusbits;
-    end if;
-  end if;
-  end process;
-  
-end Behavioral;
diff --git a/code/tdc_calib/LUT.vhd~ b/code/tdc_calib/LUT.vhd~
deleted file mode 100644 (file)
index 22d741e..0000000
+++ /dev/null
@@ -1,119 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-library work;
-use work.trb_net_std.all;
-
-entity LUT is
-    port (
-        CLK            : in  std_logic;
-        DIN_in         : in  std_logic_vector(31 downto 0);
-        DIN_in_b_r     : in  std_logic;
-        DIN_in_data_w  : in  std_logic;
-        DIN_in_data_f  : in  std_logic;
-        Delta          : in  std_logic_vector( 9 downto 0):="0110110100";
-        min_in         : in  std_logic_vector( 9 downto 0);
-        max_in         : in  std_logic_vector( 9 downto 0);
-        do_cal_in      : in  std_logic;
- --       FPGA_in        : in  std_logic_vector( 3 downto 0);
- --       chnl           : in  std_logic_vector( 6 downto 0); 
-        min_out        : out std_logic_vector( 9 downto 0);
-        max_out        : out std_logic_vector( 9 downto 0);
-        DIN_out        : out std_logic_vector(31 downto 0);
-        DIN_out_b_r    : out std_logic;
-        DIN_out_data_w : out std_logic;
-        DIN_out_data_f : out std_logic;
-        slope          : out std_logic_vector(11 downto 0);
-        do_cal_out     : out std_logic;
-        factor         : out std_logic_vector( 9 downto 0);
-        overshoot      : out std_logic := '0';
-        undershoot     : out std_logic := '0'
-    );
-end entity;
-
-architecture lut of LUT is
-    subtype lutin is std_logic_vector (11 downto 0);
-    subtype lutout is std_logic_vector (11 downto 0);
-    type lut is array (natural range 436 to 563) of lutout;
-
-    constant LUTslope:   lut := (
-            "100100101101", "100100100111", "100100100010", "100100011101", 
-            "100100010111", "100100010010", "100100001101", "100100001000", 
-            "100100000010", "100011111101", "100011111000", "100011110011", 
-            "100011101110", "100011101001", "100011100100", "100011011111", 
-            "100011011001", "100011010100", "100011010000", "100011001011", 
-            "100011000110", "100011000001", "100010111100", "100010110111", 
-            "100010110010", "100010101101", "100010101000", "100010100100", 
-            "100010011111", "100010011010", "100010010101", "100010010001", 
-            "100010001100", "100010000111", "100010000011", "100001111110", 
-            "100001111001", "100001110101", "100001110000", "100001101100", 
-            "100001100111", "100001100011", "100001011110", "100001011010", 
-            "100001010101", "100001010001", "100001001100", "100001001000", 
-            "100001000100", "100000111111", "100000111011", "100000110111", 
-            "100000110010", "100000101110", "100000101010", "100000100110", 
-            "100000100001", "100000011101", "100000011001", "100000010101", 
-            "100000010001", "100000001100", "100000001000", "100000000100", 
-            "100000000000", "011111111100", "011111111000", "011111110100", 
-            "011111110000", "011111101100", "011111101000", "011111100100", 
-            "011111100000", "011111011100", "011111011000", "011111010100", 
-            "011111010000", "011111001100", "011111001000", "011111000100", 
-            "011111000000", "011110111101", "011110111001", "011110110101", 
-            "011110110001", "011110101101", "011110101010", "011110100110", 
-            "011110100010", "011110011110", "011110011011", "011110010111", 
-            "011110010011", "011110010000", "011110001100", "011110001000", 
-            "011110000101", "011110000001", "011101111110", "011101111010", 
-            "011101110110", "011101110011", "011101101111", "011101101100", 
-            "011101101000", "011101100101", "011101100001", "011101011110", 
-            "011101011010", "011101010111", "011101010011", "011101010000", 
-            "011101001101", "011101001001", "011101000110", "011101000010", 
-            "011100111111", "011100111100", "011100111000", "011100110101", 
-            "011100110010", "011100101110", "011100101011", "011100101000", 
-            "011100100101", "011100100001", "011100011110", "011100011011"
-        );
-        
-    signal DIN_in_i    : std_logic_vector(31 downto 0) := (others => '0');
-    signal DIN_out_i   : std_logic_vector(31 downto 0) := (others => '0');
-    signal do_cal_out_i : std_logic := '0';
-    
-begin
-
-  proc_slope : process (CLK)
-  begin
-    if rising_edge(CLK) then
-       if do_cal_in = '1' then
-         slope      <= LUTslope( TO_INTEGER ( unsigned(Delta)));
-       end if;
-       min_out      <= min_in;
-       max_out      <= max_in;
-       do_cal_out_i <= do_cal_in;
-    end if;
-  end process;
-  
-  proc_factor : process (CLK)
-  begin
-    if rising_edge(CLK) then
-    --keep values in definition area of linear part
-       if (unsigned(DIN_in(21 downto 12)) < unsigned(min_in) ) then
-          factor     <= (others => '0');
-          undershoot <= '1'; -- value is out of calibration range
-          overshoot  <= '0';
-       elsif (unsigned(DIN_in(21 downto 12)) > unsigned(max_in) ) then
-          factor     <= (others => '0');
-          overshoot  <= '1'; -- value is out of calibration range
-          undershoot <= '0';
-       else
-          factor     <= std_logic_vector(unsigned(DIN_in(21 downto 12)) - unsigned(min_in));
-          undershoot <= '0';
-          overshoot  <= '0';
-       end if;
-
-    DIN_out        <= DIN_in;
-    DIN_out_b_r            <= DIN_in_b_r;
-    DIN_out_data_w  <= DIN_in_data_w;
-    DIN_out_data_f  <= DIN_in_data_f;
-    end if;
-  end process; 
-  
-  do_cal_out <= do_cal_out_i;
-
-end architecture;
\ No newline at end of file
diff --git a/code/tdc_calib/Memory.vhd~ b/code/tdc_calib/Memory.vhd~
deleted file mode 100644 (file)
index 5667c40..0000000
+++ /dev/null
@@ -1,56 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-
-entity Memory is
-    Port (
-        CLK        : in  std_logic;
-        read        : in  std_logic;
-        write       : in  std_logic;
-        FPGA_read   : in  std_logic_vector(3 downto 0);
-        chnl_read   : in  std_logic_vector(6 downto 0);
-        FPGA_write  : in  std_logic_vector(3 downto 0);
-        chnl_write  : in  std_logic_vector(6 downto 0);
-        Min         : in  std_logic_vector(9 downto 0);
-        Max         : in  std_logic_vector(9 downto 0);
-        Do_Cal_in   : in  std_logic;
-        DIN_data    : in  std_logic_vector(31 downto 0);
-        DIN_b_r     : in  std_logic;
-        DIN_data_w  : in  std_logic;
-        DIN_data_f  : in  std_logic;
-       Min_out     : out std_logic_vector(9 downto 0):= "1111111111";
-        Max_out     : out std_logic_vector(9 downto 0):= "0000000000"
-    );
-end Memory;
-
-architecture Behavioral of Memory is
-
-  type array2D is array (3 downto 0, 14 downto 0) of std_logic_vector(9 downto 0); --(FPGA)(channel)
-  signal Max_Bin_i : array2D := (others => (others => ("0000000000")));
-  signal Min_Bin_i : array2D := (others => (others => ("1111111101")));
-  
-begin
-
-  mem : process (CLK,read,write)
-  begin
-   if rising_edge(CLK) then
-        
-    if (read = '1' and Do_Cal_in = '1') then --read
-      if (FPGA_read = FPGA_write) and (chnl_read = chnl_write) then
-       Max_out <= Max;
-       Min_out <= Min;
-      else
-       Max_out <= Max_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
-        Min_out <= Min_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
-      end if;  
-    end if;   
-    if (write = '1') then --write
-       Max_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Max;
-       Min_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Min;
-    end if;
-    
-    
-   end if;
-  end process;
-  
-end Behavioral;
diff --git a/code/tdc_calib/Memory_curr.vhd~ b/code/tdc_calib/Memory_curr.vhd~
deleted file mode 100644 (file)
index adca2be..0000000
+++ /dev/null
@@ -1,73 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-
-entity Memory_curr is
-    Port (
-        CLK        : in  std_logic;
-        read        : in  std_logic;
-        write       : in  std_logic;
-        FPGA_read   : in  std_logic_vector(3 downto 0);
-        chnl_read   : in  std_logic_vector(6 downto 0);
-        FPGA_write  : in  std_logic_vector(3 downto 0);
-        chnl_write  : in  std_logic_vector(6 downto 0);
-        Min         : in  std_logic_vector(9 downto 0);
-        Max         : in  std_logic_vector(9 downto 0);
-        Do_Cal_in   : in  std_logic;
-        DIN_data    : in  std_logic_vector(31 downto 0);
-        DIN_b_r     : in  std_logic;
-        DIN_data_w  : in  std_logic;
-        DIN_data_f  : in  std_logic;
-       Min_out     : out std_logic_vector(9 downto 0):= "1111111111";
-        Max_out     : out std_logic_vector(9 downto 0):= "0000000000";
-        new_data    : out std_logic;
-        DOUT_data   : out std_logic_vector(31 downto 0);
-        DOUT_b_r    : out std_logic;
-        DOUT_data_w : out std_logic;
-        DOUT_data_f : out std_logic;
-        FPGA_out    : out std_logic_vector(3 downto 0);
-        CHNL_out    : out std_logic_vector(6 downto 0);
-        Do_Cal_out  : out std_logic
-    );
-end Memory_curr;
-
-architecture Behavioral of Memory_curr is
-
-  type array2D is array (15 downto 0, 63 downto 0) of std_logic_vector(9 downto 0); --(FPGA)(channel)
-  signal Max_Bin_i : array2D := (others => (others => ("0000000010")));
-  signal Min_Bin_i : array2D := (others => (others => ("1001111110")));
-  
-begin
-
-  mem : process (CLK,read,write)
-  begin
-   if rising_edge(CLK) then
-        
-    if (read = '1' and Do_Cal_in = '1') then --read
-    --if (Do_Cal_in = '1') then --read
-       if (FPGA_read = FPGA_write) and (chnl_read = chnl_write) then
-         Max_out <= Max;
-         Min_out <= Min;
-       else
-         Max_out <= Max_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
-         Min_out <= Min_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
-       end if;
-    end if;   
-    if (write = '1') then --write
-       Max_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Max;
-       Min_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Min;
-   end if;
-    
-    DOUT_data   <= DIN_data;
-    DOUT_b_r    <= DIN_b_r;
-    DOUT_data_w <= DIN_data_w;
-    DOUT_data_f <= DIN_data_f;
-    new_data    <= read;
-    FPGA_out    <= FPGA_read;
-    CHNL_out    <= chnl_read;
-    Do_Cal_out  <= Do_Cal_in;
-    
-   end if;
-  end process;
-  
-end Behavioral;
diff --git a/code/tdc_calib/cnt_val.vhd~ b/code/tdc_calib/cnt_val.vhd~
deleted file mode 100644 (file)
index 4dfa770..0000000
+++ /dev/null
@@ -1,55 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-
-entity cnt_val is
-    Port (       
-        CLK           : in  std_logic;
-        read           : in  std_logic;
-        write          : in  std_logic;
-        FPGA_read      : in  std_logic_vector( 3 downto 0);
-        chnl_read      : in  std_logic_vector( 6 downto 0);
-        FPGA_write     : in  std_logic_vector( 3 downto 0);
-        chnl_write     : in  std_logic_vector( 6 downto 0);
-        cal_cnt        : in  unsigned(19 downto 0);
-        DIN_in                : in  std_logic_vector(31 downto 0);
-        DIN_in_b_r     : in  std_logic;
-        DIN_in_data_w  : in  std_logic;
-        DIN_in_data_f  : in  std_logic;
-        cal_cnt_out    : out unsigned(19 downto 0);
-        DIN_out               : out std_logic_vector(31 downto 0);
-        DIN_out_b_r    : out std_logic;
-        DIN_out_data_w : out std_logic;
-        DIN_out_data_f : out std_logic
-          );
-end cnt_val;
-
-architecture Behavioral of cnt_val is
-
-  type unsigned_2D is array (15 downto 0, 63 downto 0) of unsigned (19 downto 0); --(channel)
-  signal cal_cnt_i   : unsigned_2D := (others => (others => "00000000000000000000"));
-  
-begin
- cnt : process (CLK,read,write)
- begin
-  if rising_edge(CLK) then
-   if (read = '1') then --read
-       if (FPGA_read /= FPGA_write) or (chnl_read /= chnl_write) then
-          cal_cnt_out <= cal_cnt_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
-       else
-          cal_cnt_out <= cal_cnt;-- if channel/fpage is same as 2 inputs before
-       end if;
-   end if;
-   if (write = '1') then --write
-      cal_cnt_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= cal_cnt;
-   end if;
-      
-   DIN_out        <= DIN_in;
-   DIN_out_b_r    <= DIN_in_b_r;
-   DIN_out_data_w  <= DIN_in_data_w;
-   DIN_out_data_f  <= DIN_in_data_f;
-   
-  end if; 
- end process;
-
-end Behavioral;
diff --git a/code/tdc_calib/compare_old.vhd~ b/code/tdc_calib/compare_old.vhd~
deleted file mode 100644 (file)
index d0d09ee..0000000
+++ /dev/null
@@ -1,63 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-library work;
-use work.trb_net_std.all;
-
-entity compare_old is
-    Port ( CLK           : in  std_logic;
-           DIN_in        : in  std_logic_vector(31 downto 0);
-           DIN_in_b_r    : in  std_logic;
-           DIN_in_data_w  : in  std_logic;
-           DIN_in_data_f  : in  std_logic;
-           FPGA_in       : in  std_Logic_vector( 3 downto 0);
-           CHNL_in       : in  std_logic_vector( 6 downto 0);
-           Do_Cal_in     : in  std_Logic;
-           CHNL_out      : out std_logic_vector( 6 downto 0);
-           FPGA_out      : out std_logic_vector( 3 downto 0);
-           DIN_out       : out std_logic_vector(31 downto 0);
-           DIN_out_b_r           : out std_logic;
-           DIN_out_data_w : out std_logic;
-           DIN_out_data_f : out std_logic;
-           Do_Cal_out    : out std_logic;
-           read                  : out std_logic := '0'
-     );
-end compare_old;
-
-architecture Behavioral of compare_old is
-
-  signal FPGA_i : std_logic_vector( 3 downto 0):="0010";
-  signal CHNL_i : std_logic_vector( 6 downto 0):="1111111";
-  
-begin
-
-  rd_cnt : process(CLK)
-  begin
-    if rising_edge(CLK) then
-        
-        if (Do_Cal_in = '1') then
-         if (FPGA_in = FPGA_i) and (CHNL_in = CHNL_i) then  -- same channel and fpga as before, no need to reread
-           read     <= '0';
-           FPGA_out <= FPGA_i;
-           CHNL_out <= CHNL_i;
-         else                          -- different fpga/channel -> read again!
-           read     <= '1';
-           FPGA_i   <= FPGA_in;
-           CHNL_i   <= CHNL_in;
-           FPGA_out <= FPGA_in;
-           CHNL_out <= CHNL_in;
-         end if;
-       else
-         read     <= '0';
-         FPGA_out <= "1111";  -- to avoid collisions
-         CHNL_out <= "1111111";
-        end if;
-        --loop through entity
-        DIN_out          <= DIN_in;
-        DIN_out_b_r      <= DIN_in_b_r;
-        DIN_out_data_w   <= DIN_in_data_w;
-        DIN_out_data_f           <= DIN_in_data_f;
-        Do_Cal_out       <= Do_Cal_in;
-    end if;
-  end process;
-
-end Behavioral;
diff --git a/code/tdc_calib/default_val.vhd~ b/code/tdc_calib/default_val.vhd~
deleted file mode 100644 (file)
index 31f82e3..0000000
+++ /dev/null
@@ -1,37 +0,0 @@
-library IEEE;\r
-use IEEE.STD_LOGIC_1164.ALL;\r
-use IEEE.NUMERIC_STD.ALL;\r
-\r
-entity dflt_val is\r
-    Port (       \r
-        CLK        : in  std_logic;\r
-        read       : in  std_logic;\r
-        --do_Cal          : in  std_logic;\r
-        FPGA_read  : in  std_logic_vector(3 downto 0);\r
-        chnl_read  : in  std_logic_vector(6 downto 0);\r
-        --FPGA_write : in  std_logic_vector(3 downto 0);\r
-        --chnl_write : in  std_logic_vector(6 downto 0);\r
-        dflt_out   : out std_logic\r
-    );\r
-end dflt_val;\r
-\r
-architecture Behavioral of dflt_val is\r
-\r
-  type bit_2D is array (15 downto 0, 63 downto 0) of std_logic; --(channel)\r
-  signal dflt_i   : bit_2D := (others => (others => '1'));\r
-  signal start    : std_logic := '1';\r
-  \r
-begin\r
- dflt_cnt : process (CLK)\r
- begin\r
- if rising_edge(CLK) then\r
-      if (read = '1') then --read\r
-         dflt_out  <= dflt_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));\r
-         dflt_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read))) <= '0';\r
-      else\r
-         dflt_out  <= '0';\r
-      end if;\r
- end if;\r
- end process;\r
-\r
-end Behavioral;\r
diff --git a/code/tdc_calib/read_Memory.vhd~ b/code/tdc_calib/read_Memory.vhd~
deleted file mode 100644 (file)
index 6c38db5..0000000
+++ /dev/null
@@ -1,116 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.NUMERIC_STD.ALL;
-library work;
-use work.trb_net_std.all;
-
-entity read_Memory is
-    generic (
-       calibration_value_max : unsigned(19 downto 0):="11111111111111111111"
-    );
-    Port ( 
-        CLK             : in  std_logic;
-        DIN             : in  std_logic_vector(31 downto 0);
-        DIN_b_r                : in  std_logic;
-        DIN_data_w     : in  std_logic;
-        DIN_data_f     : in  std_logic;
-        FPGA_in         : in  std_logic_vector( 3 downto 0);
-        chnl_in         : in  std_logic_vector(6 downto 0);
-        cal_cnt         : in  unsigned(19 downto 0);
-        dflt_cnt        : in  std_logic;
-        write_cal_cnt   : out std_logic;
-        write_dflt_cnt  : out std_logic;
-        cal_cnt_out     : out unsigned(19 downto 0);
-        dflt_cnt_out    : out std_logic;
-        read_next       : out std_logic;
-        read_curr       : out std_logic;
-        Cal_chng_flag   : out std_logic;
-        do_cal          : out std_logic;
-        FPGA            : out std_logic_vector( 3 downto 0);
-        chnl            : out std_logic_vector( 6 downto 0);
-        DIN_out         : out std_logic_vector(31 downto 0);
-        DIN_out_b_r     : out std_logic;
-        DIN_out_data_w  : out std_logic;
-        DIN_out_data_f  : out std_logic;
-        Default_val     : out std_logic--;
-        --cal_cnt_dbug    : out unsigned(19 downto 0)
-    );
-end read_Memory;
-
-architecture Behavioral of read_Memory is
- -- type unsigned_2D is array (3 downto 0,6 downto 0) of unsigned (7 downto 0); --(channel)
-  --type bit_2D is array (3 downto 0,6 downto 0) of std_logic; --(channel)
-  --signal cal_cnt   : unsigned_2D := (others => (others => "00000000"));
-  --signal FPGA_i    : std_logic_vector(3 downto 0);
-  --signal Default_val_i : bit_2D := (others => (others => '1'));
-  signal dflt_cnt_last : std_logic;
-begin
-
-   Start_Calib : process (CLK)
-   begin
-     if rising_edge(CLK) then
-         if DIN(31) = '1' then
-            dflt_cnt_last <= dflt_cnt;
-            --Do  Calibration
-            do_cal <= '1';
-            
-            if cal_cnt = to_unsigned(0,20) then
-                --lese Wert aus Mem_next
-                if dflt_cnt = '1' then
-                    Cal_chng_flag <= '0';
-                    read_curr <= '0';
-                    write_dflt_cnt <= '1'; -- gebe dflt_cnt auch die neue /alte adresse (FPGA und channel)
-                    dflt_cnt_out <= '0';
-                    Default_val <='1';
-                else
-                  if dflt_cnt_last = '0' then
-                    write_dflt_cnt <= '0';
-                    Cal_chng_flag <= '1';
-                  end if;
-                    Default_val <='0';
-                    read_curr <= '1';
-                end if;
-                read_next <= '1';
-                cal_cnt_out <= cal_cnt + 1 ;
-            elsif cal_cnt < calibration_value_max then
-                Default_val <='0';
-                Cal_chng_flag <= '0';
-                read_next <= '1';
-                read_curr <= '1';
-                cal_cnt_out <= cal_cnt + 1 ;
-                write_dflt_cnt <= '0';
-            else
-                Default_val <='0';
-                Cal_chng_flag <= '0';
-                read_next <= '1';
-                read_curr <= '1';
-                cal_cnt_out <= to_unsigned(0,20);--(others => '0');--"00000000000000000000";
-                write_dflt_cnt <= '1';
-                dflt_cnt_out <= '0';
-            end if;
-            write_cal_cnt <= '1';
-            chnl <= DIN(28 downto 22);
-            
-         else -- DIN(31) = '0' -> no TDC
-             write_dflt_cnt <= '0';
-             do_cal  <= '0';
-             read_next <= '0';
-             read_curr <= '0';
-             --FPGA_i  <= DIN( 3 downto 0);
-             write_cal_cnt <= '0';    
-             Default_val <='0';  
-             Cal_chng_flag <= '0';
-         end if;--DIN(31)
-         
-         DIN_out        <= DIN;
-         DIN_out_b_r    <= DIN_b_r;
-         DIN_out_data_w         <= DIN_data_w;
-         DIN_out_data_f  <= DIN_data_f;
-         
-         FPGA <= FPGA_in;
-     end if; --rising_edge
-   end process;
-   
-   
- --FPGA <= FPGA_i;
-end Behavioral;
diff --git a/code/tdc_calib/read_cnt.vhd~ b/code/tdc_calib/read_cnt.vhd~
deleted file mode 100644 (file)
index 7ab571f..0000000
+++ /dev/null
@@ -1,59 +0,0 @@
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-library work;
-use work.trb_net_std.all;
-
-entity read_cnt is
-    Port ( CLK           : in  std_logic;
-           DIN_in        : in  std_logic_vector(31 downto 0);
-           DIN_in_b_r    : in  std_logic;
-           DIN_in_data_w  : in  std_logic;
-           DIN_in_data_f  : in  std_logic;
-           chnl          : out std_logic_vector( 6 downto 0);
-           FPGA_out      : out std_logic_vector( 3 downto 0);
-           DIN_out       : out std_logic_vector(31 downto 0);
-           DIN_out_b_r           : out std_logic;
-           DIN_out_data_w : out std_logic;
-           DIN_out_data_f : out std_logic;
-           Do_Cal        : out std_logic
-     );
-end read_cnt;
-
-architecture Behavioral of read_cnt is
-
-  signal FPGA_i : std_logic_vector( 3 downto 0);
-  
-begin
-
-  rd_cnt : process(CLK)
-  begin
-    if rising_edge(CLK) then
-        if DIN_in(31) = '1' then
-            chnl         <= DIN_in(28 downto 22);
-            FPGA_out     <= FPGA_i;
-            if DIN_in(21 downto 12) = "1111111111" then
-               Do_Cal <= '0';
-            else
-               Do_Cal <= '1';
-            end if;
-        --elsif DIN_in(31 downto 29) = "000" then  -- TDC-Trailer
-        --   Do_Cal      <= '0';
-        --elsif DIN_in(31 downto 29) = "001" then  -- TDC_Header
-        --   Do_Cal      <= '0';
-        --elsif DIN_in(31 downto 29) = "010" then  -- DEBUG
-        --   Do_Cal      <= '0';
-        --elsif DIN_in(31 downto 29) = "011" then  -- EPOCH-Counter
-        --   Do_Cal      <= '0';
-        else
-           FPGA_i        <= "0000";
-
-           Do_Cal        <= '0';
-        end if;
-        DIN_out          <= DIN_in;
-        DIN_out_b_r      <= DIN_in_b_r;
-        DIN_out_data_w   <= DIN_in_data_w;
-        DIN_out_data_f           <= DIN_in_data_f;
-    end if;
-  end process;
-
-end Behavioral;
diff --git a/code/tdc_calib/sim_tb.vhd.bak b/code/tdc_calib/sim_tb.vhd.bak
deleted file mode 100644 (file)
index 9e87b8a..0000000
+++ /dev/null
@@ -1,155 +0,0 @@
-----------------------------------------------------------------------------------
--- Company: 
--- Engineer: 
--- 
--- Create Date: 05.01.2017 14:31:03
--- Design Name: 
--- Module Name: sim_tb - Behavioral
--- Project Name: 
--- Target Devices: 
--- Tool Versions: 
--- Description: 
--- 
--- Dependencies: 
--- 
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
--- 
-----------------------------------------------------------------------------------
-
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-library work;
-use work.trb_net_std.all;
--- Uncomment the following library declaration if using
--- arithmetic functions with Signed or Unsigned values
-use IEEE.NUMERIC_STD.ALL;
-
--- Uncomment the following library declaration if instantiating
--- any Xilinx primitives in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
-
-entity sim_tb is
-end sim_tb;
-
-architecture Behavioral of sim_tb is
-signal CLK ,Flag_Lim, Flag_LUT: std_logic := '0';
-signal DIN_i, DOUT_i : READOUT_TX;
-signal DIN_out_end: std_logic_vector(31 downto 0) := (others => '0');
-signal Fine, Delta, min_Cal : std_logic_vector(9 downto 0);
-signal DIN_out_Lim, DIN_out_LUT : std_logic_vector(31 downto 0);
-signal cal_cnt : unsigned(19 downto 0);
-signal min_curr_dbg, max_curr_dbg, min_next_dbg, max_next_dbg : std_logic_vector(9 downto 0);
-signal cal_cng_dbg,read_next_dbg,write_next_dbg,Dflt_cnt_dbg,Default_val_dbg,write_curr_dbg: std_logic;
-signal FPGA_dbg : std_logic_vector(3 downto 0);
-signal wrt_cal_cnt_dbg : std_logic;
-
-constant CLK_PERIOD : time := 20ns;
-
-begin
---Input : entity work.input_env
---    port map(
---        CLK => CLK,
---        DOUT => DIN_i
---    ); 
-
-   Calibration : entity work.Calibration
-    port map(
-        CLK  => CLK,
-        DIN  => DIN_i,
-        DOUT => DOUT_i,
-        --Temp => temp,
-        Fine => Fine,
-        Delta => Delta,
-        Min_Cal => min_Cal,
-        Flag_Lim => Flag_Lim,
-        Flag_LUT => Flag_LUT,
-        DIN_out_Lim => DIN_out_Lim,
-        DIN_out_LUT => DIN_out_LUT,
-        cal_cnt_dbug => cal_cnt,
-        min_next_dbg => min_next_dbg,
-        max_next_dbg => max_next_dbg,
-        min_curr_dbg => min_curr_dbg,
-        max_curr_dbg => max_curr_dbg,
-        cal_cng_dbg => cal_cng_dbg,
-        read_next_dbg => read_next_dbg,
-        write_next_dbg => write_next_dbg,
-        FPGA_dbg => FPGA_dbg,
-        DIN_out_end => DIN_out_end,
-        Dflt_cnt_dbg => Dflt_cnt_dbg,
-        Default_val_dbg => Default_val_dbg,
-        write_curr_dbg => write_curr_dbg,
-        wrt_cal_cnt_dbg => wrt_cal_cnt_dbg
-    ); 
-    
-    write : entity work.file_output
-       port map(
-            CLK => CLK,
-            x1 => DIN_out_end,
-            x2 => DOUT_i.data
-       ); 
-    
-
-  CLK_PROC : process is
-  begin
-     CLK <= '1';
-     wait for CLK_PERIOD / 2;
-     CLK <= '0';
-     wait for CLK_PERIOD / 2;
-  end process;
-  
-  
-  proc_Cal : process is
-    begin
-      wait for 5 ns;
-      DIN_i.statusbits <= "00000000000000000000000000000001";
-      DIN_i.data <= "00000000000000000000000000000000";
-      DIN_i.data_write    <= '1';
-      DIN_i.data_finished <= '0';
-      DIN_i.busy_release  <= '1';
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000000000000000000";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000000";
-      wait for 20 ns;
-      DIN_i.data <= "10000000001000000111000000000001";
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000000";
-      --wait for 20 ns;
-      DIN_i.data <= "10000000000000000010000000000010";
-      --wait for 20 ns;
-      --DIN_i <= "00000000000000000000000000000000";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000011000000000011";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000001";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000100000000000100";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000001";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000000000000000000";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000001";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000001000000000001";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000001";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000010000000000010";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000000";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000011000000000011";
-      wait for 20 ns;
-      DIN_i.data <= "00000000000000000000000000000000";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000100000000000100";
-      wait for 20 ns;
-    end process;
-
-
-end Behavioral;
\ No newline at end of file
diff --git a/code/tdc_calib/sim_tb.vhd~ b/code/tdc_calib/sim_tb.vhd~
deleted file mode 100644 (file)
index 47b0fae..0000000
+++ /dev/null
@@ -1,199 +0,0 @@
-----------------------------------------------------------------------------------
--- Company: 
--- Engineer: 
--- 
--- Create Date: 05.01.2017 14:31:03
--- Design Name: 
--- Module Name: sim_tb - Behavioral
--- Project Name: 
--- Target Devices: 
--- Tool Versions: 
--- Description: 
--- 
--- Dependencies: 
--- 
--- Revision:
--- Revision 0.01 - File Created
--- Additional Comments:
--- 
-----------------------------------------------------------------------------------
-
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.ALL;
-library work;
-use work.trb_net_std.all;
--- Uncomment the following library declaration if using
--- arithmetic functions with Signed or Unsigned values
-use IEEE.NUMERIC_STD.ALL;
-
--- Uncomment the following library declaration if instantiating
--- any Xilinx primitives in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
-
-entity sim_tb is
-end sim_tb;
-
-architecture Behavioral of sim_tb is
-signal CLK ,Flag_Lim, Flag_LUT: std_logic := '0';
-signal DIN_i, DOUT_i : READOUT_TX;
-signal DIN_out_end: std_logic_vector(31 downto 0) := (others => '0');
-signal Fine, Delta, min_Cal : std_logic_vector(9 downto 0);
-signal DIN_out_Lim, DIN_out_LUT : std_logic_vector(31 downto 0);
-signal cal_cntread_compare_old : unsigned(19 downto 0);
-signal min_curr_dbg, max_curr_dbg, min_next_dbg, max_next_dbg : std_logic_vector(9 downto 0);
-signal read_next_dbg,write_next_dbg,Default_val_dbg,write_curr_dbg : std_logic;
-signal FPGA_dbg : std_logic_vector(3 downto 0);
-signal wrt_cal_cnt_dbg,write_dflt_cnt_dbg : std_logic;
-signal cal_cnt : unsigned(19 downto 0);
---signal cal_cng_dbg, Dflt_cnt_dbg : std_logic;
-
-constant CLK_PERIOD : time := 20 ns;
-
-signal BUS_RX : CTRLBUS_RX;
-
-begin
---Input : entity work.input_env
---    port map(
---        CLK => CLK,
---        DOUT => DIN_i
---    ); 
-
-   Calibration : entity work.Calibration
-    port map(
-        CLK  => CLK,
-        DIN  => DIN_i,
-        DOUT => DOUT_i,
-        BUS_RX  => BUS_RX,
-        BUS_TX  => open
-        --Temp => temp,
---         Fine => Fine,
---         Delta => Delta,
---         Min_Cal => min_Cal,
---         Flag_Lim => Flag_Lim,
---         Flag_LUT => Flag_LUT,
---         DIN_out_Lim => DIN_out_Lim,
---         DIN_out_LUT => DIN_out_LUT,
---         cal_cnt_dbug => cal_cnt,
---         min_next_dbg => min_next_dbg,
---         max_next_dbg => max_next_dbg,
---         min_curr_dbg => min_curr_dbg,
---         max_curr_dbg => max_curr_dbg,
---         --cal_cng_dbg => cal_cng_dbg,
---         read_next_dbg => read_next_dbg,
---         write_next_dbg => write_next_dbg,
---         FPGA_dbg => FPGA_dbg,
---         DIN_out_end => DIN_out_end,
---         --Dflt_cnt_dbg => Dflt_cnt_dbg,
---         Default_val_dbg => Default_val_dbg,
---         write_curr_dbg => write_curr_dbg,
---         wrt_cal_cnt_dbg => wrt_cal_cnt_dbg,
---         write_dflt_cnt_dbg => write_dflt_cnt_dbg
-    ); 
-    
-    write : entity work.file_output
-       port map(
-            CLK => CLK,
-            x1 => DIN_out_end,
-            x2 => DOUT_i.data
-       ); 
-    
-
-  CLK_PROC : process is
-  begin
-     CLK <= '1';
-     wait for CLK_PERIOD / 2;
-     CLK <= '0';
-     wait for CLK_PERIOD / 2;
-  end process;
-  
-  
-  proc_Cal : process is
-    begin
-      wait for 5 ns;
-      DIN_i.statusbits <= "00000000000000000000000000000001";
-      DIN_i.data <= "00000000000000000000000000000001";
-      DIN_i.data_write    <= '1';
-      DIN_i.data_finished <= '0';
-      DIN_i.busy_release  <= '1';
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000100001000000000000"; --33
-      --wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000010";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000100111000000000001"; --39
-      --wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      wait for 20 ns;
-      DIN_i.data <= "10000000000001000010000000000010"; --66
-      --wait for 20 ns;
-      --DIN_i <= "00000000000000000000000000000000";
-      --wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000010";
-      wait for 20 ns;
-      DIN_i.data <= "10000001100000000011000000000011"; --3
-      wait for 20 ns;
-
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000000001000001100000000000001"; --70
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000010";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000000100000000000100";--4
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      --DIN_i.data <= "10000000000111000000000000000000";
-      --wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000000001000000000001";--1
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000000010000000000010";--2
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001101000001010000000000011";--3
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000001010000000000100";--4
-      wait for 20 ns;
-      DIN_i.data <= "10000001100000001110000000000101";--5
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000000000000000110000000000110";--6
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000000111000000000111";--7
-      wait for 20 ns;
-      DIN_i.data <= "10000000000000001000000000000111";--8
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000010";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000001001000000000111";--9
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000000000000001010000000000111";--10
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000010";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000001011000000000111";--11
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000001";
-      --wait for 20 ns;
-      DIN_i.data <= "10000000000000001100000000000111";--12
-      wait for 20 ns;
-      --DIN_i.data <= "00000000000000000000000000000010";
-      --wait for 20 ns;
-      DIN_i.data <= "10000001100000001101000000000111";--13
-      wait for 20 ns;
-    end process;
-
-
-end Behavioral;
\ No newline at end of file
diff --git a/code/tdc_calib/transcript b/code/tdc_calib/transcript
deleted file mode 100644 (file)
index 37ed869..0000000
+++ /dev/null
@@ -1,22524 +0,0 @@
-# //  Questa Sim
-# //  Version 10.2c_5 linux Nov 19 2013
-# //
-# //  Copyright 1991-2013 Mentor Graphics Corporation
-# //  All Rights Reserved.
-# //
-# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
-# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
-# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
-# //
-vlib work
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# ** Error: (vcom-11) Could not find work.trb_net_std.
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(5): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(7): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# ** Error: (vcom-11) Could not find work.trb_net_std.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(25): (vcom-1195) Cannot find expanded name "work.trb_net_std".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(25): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(27): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling entity Delta
-# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# ** Error: (vcom-11) Could not find work.txt_util.
-# ** Error: /home/adrian/TDC_v4/file_output.vhd(5): (vcom-1195) Cannot find expanded name "work.txt_util".
-# ** Error: /home/adrian/TDC_v4/file_output.vhd(5): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/file_output.vhd(7): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity input_env
-# -- Compiling architecture behavioral of input_env
-# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# ** Error: (vcom-11) Could not find work.trb_net_std.
-# ** Error: /home/adrian/TDC_v4/LUT.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
-# ** Error: /home/adrian/TDC_v4/LUT.vhd(5): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/LUT.vhd(7): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# ** Error: (vcom-11) Could not find work.trb_net_std.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(4): (vcom-1195) Cannot find expanded name "work.trb_net_std".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(4): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(6): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# ** Error: (vcom-11) Could not find work.trb_net_std.
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(5): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(7): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# ** Error: (vcom-11) Could not find work.trb_net_std.
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(25): (vcom-1195) Cannot find expanded name "work.trb_net_std".
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(25): Unknown expanded name.
-# -- Loading package NUMERIC_STD
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(35): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Compiling package trb_net_std
-# -- Compiling package body trb_net_std
-# -- Loading package trb_net_std
-vcom -reportprogress 30 -work work /home/adrian/TDC_v4/txt_util.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling package txt_util
-# -- Compiling package body txt_util
-# -- Loading package txt_util
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# ** Error: (vcom-11) Could not find work.calibration.
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(58): (vcom-1195) Cannot find expanded name "work.Calibration".
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(58): Unknown expanded name.
-# ** Error: (vcom-11) Could not find work.file_output.
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(86): (vcom-1195) Cannot find expanded name "work.file_output".
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(86): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(153): VHDL Compiler exiting
-vsim -voptargs=+acc work.sim_tb
-# vsim -voptargs=+acc work.sim_tb 
-# ** Note: (vsim-3812) Design is being optimized...
-# ** Error: Failed to find design unit work.sim_tb.
-# Optimization failed
-# Error loading design
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# ** Error: (vcom-11) Could not find work.read_cnt.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(161): (vcom-1195) Cannot find expanded name "work.read_cnt".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(161): Unknown expanded name.
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# ** Error: (vcom-11) Could not find work.read_memory.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(206): (vcom-1195) Cannot find expanded name "work.read_Memory".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(206): Unknown expanded name.
-# -- Loading entity Memory
-# ** Error: (vcom-11) Could not find work.cal_limits.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(273): (vcom-1195) Cannot find expanded name "work.Cal_Limits".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(273): Unknown expanded name.
-# ** Error: (vcom-11) Could not find work.lut.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): (vcom-1195) Cannot find expanded name "work.LUT".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(400): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Compiling package trb_net_std
-# -- Compiling package body trb_net_std
-# -- Loading package trb_net_std
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/txt_util.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling package txt_util
-# -- Compiling package body txt_util
-# -- Loading package txt_util
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity input_env
-# -- Compiling architecture behavioral of input_env
-# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package txt_util
-# -- Compiling entity file_output
-# -- Compiling architecture log_to_file of file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling entity Delta
-# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: (vcom-11) Could not find work.lut.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): (vcom-1195) Cannot find expanded name "work.LUT".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): Unknown expanded name.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(400): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity LUT
-# -- Compiling architecture lut of LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vsim -voptargs=+acc work.sim_tb
-# vsim -voptargs=+acc work.sim_tb 
-# ** Note: (vsim-3812) Design is being optimized...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-add wave  \
-sim:/sim_tb/Flag_Lim
-add wave -position insertpoint  \
-sim:/sim_tb/DIN_i
-restart
-add wave -position insertpoint  \
-sim:/sim_tb/DOUT_i
-add wave -position insertpoint  \
-sim:/sim_tb/DIN_out_end
-add wave -position insertpoint  \
-sim:/sim_tb/Fine
-restart
-add wave -position insertpoint  \
-sim:/sim_tb/DIN_i.data
-vsim -voptargs=+acc work.sim_tb
-# vsim -voptargs=+acc work.sim_tb 
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-add wave -position insertpoint  \
-sim:/sim_tb/CLK
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-vsim -voptargs=+acc work.sim_tb
-# vsim -voptargs=+acc work.sim_tb 
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.sim_tb(behavioral)#1
-add wave -position insertpoint  \
-sim:/sim_tb/CLK
-restart
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.sim_tb(behavioral)#1
-run
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-add wave -position end  sim:/sim_tb/CLK
-add wave -position end  sim:/sim_tb/DIN_i
-add wave -position end  sim:/sim_tb/DOUT_i
-add wave -position end  sim:/sim_tb/DIN_out_end
-add wave -position end  sim:/sim_tb/Fine
-add wave -position end  sim:/sim_tb/Delta
-add wave -position end  sim:/sim_tb/min_Cal
-run
-run
-run
-run
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-add wave -position 1  sim:/sim_tb/DIN_i.data
-add wave -position 2  sim:/sim_tb/DOUT_i.data
-add wave -position end  sim:/sim_tb/cal_cnt
-add wave -position end  sim:/sim_tb/min_curr_dbg
-add wave -position end  sim:/sim_tb/max_curr_dbg
-add wave -position end  sim:/sim_tb/min_next_dbg
-add wave -position end  sim:/sim_tb/max_next_dbg
-add wave -position end  sim:/sim_tb/cal_cng_dbg
-add wave -position end  sim:/sim_tb/read_next_dbg
-add wave -position end  sim:/sim_tb/write_next_dbg
-add wave -position end  sim:/sim_tb/Dflt_cnt_dbg
-add wave -position end  sim:/sim_tb/Default_val_dbg
-add wave -position end  sim:/sim_tb/write_curr_dbg
-add wave -position end  sim:/sim_tb/FPGA_dbg
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-run
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 620 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 620 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 620 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(59): near "begin": expecting STRING or IDENTIFIER or << or '('
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(63): Illegal concurrent statement.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(64): Illegal concurrent statement.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): (vcom-1136) Unknown identifier "a".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): Illegal concurrent statement.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): Target type (error) in variable assignment is different from expression type ieee.NUMERIC_STD.UNRESOLVED_UNSIGNED.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): (vcom-1136) Unknown identifier "a".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): (vcom-1136) Unknown identifier "a".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Bad expression in right operand of infix expression "and".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Illegal concurrent statement.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "a".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal concurrent statement.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(84): near "process": expecting ';'
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(82): near "if": expecting PROCESS
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(102): Cannot drive signal 'cal_cnt' of mode IN.
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(102): Enumeration literal '0' is not of type ieee.NUMERIC_STD.UNSIGNED.
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(88): near "write_dflt_cnt": expecting ';'
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(119): VHDL Compiler exiting
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(88): near "write_dflt_cnt": expecting ';'
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(117): Cannot read output "cal_cnt_out".
-#      VHDL 2008 allows reading outputs.
-#      This facility is enabled by compiling with -2008.
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(117): Cannot read output "cal_cnt_out".
-#      VHDL 2008 allows reading outputs.
-#      This facility is enabled by compiling with -2008.
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(37): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-run
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-add wave -position end  sim:/sim_tb/wrt_cal_cnt_dbg
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
-# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(94): near "if": expecting PROCESS
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cnt_val has changed.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_b_r" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_data_w" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_data_f" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_b_r" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data_w" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data_f" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_b_r" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data_w" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data_f" has OPEN or no actual associated with it.
-# Optimization failed
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(298): near "DIN_data": expecting ',' or ')'
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(308): Statement cannot be labeled.
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(436): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity read_Memory
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-# Break key hit 
-vsim -voptargs=+acc work.sim_tb
-# vsim -voptargs=+acc work.sim_tb 
-# ** Note: (vsim-3812) Design is being optimized...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Loading work.read_cnt(behavioral)#1
-# Loading work.cnt_val(behavioral)#1
-# Loading work.dflt_val(behavioral)#1
-# Loading work.read_memory(behavioral)#1
-# Loading work.memory(behavioral)#1
-# Loading work.cal_limits(behavioral)#1
-# Loading work.lut(lut)#1
-# Loading work.file_output(log_to_file)#1
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-# Optimization canceled
-add wave -position insertpoint  \
-sim:/sim_tb/Calibration/LUTs/CLK
-add wave -position end  sim:/sim_tb/Calibration/LUTs/DIN_in
-add wave -position end  sim:/sim_tb/Calibration/LUTs/Delta
-add wave -position end  sim:/sim_tb/Calibration/LUTs/min_in
-add wave -position end  sim:/sim_tb/Calibration/LUTs/DIN_in
-add wave -position end  sim:/sim_tb/Calibration/LUTs/max_in
-add wave -position end  sim:/sim_tb/Calibration/LUTs/do_cal_in
-vsim -voptargs=+acc work.sim_tb
-# vsim work.sim_tb 
-# ** Note: (vsim-3812) Design is being optimized...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-add wave -position insertpoint  \
-sim:/sim_tb/CLK
-add wave -position end  sim:/sim_tb/DIN_i.data
-add wave -position end  sim:/sim_tb/Default_val_dbg
-add wave -position end  sim:/sim_tb/Dflt_cnt_dbg
-add wave -position end  sim:/sim_tb/FPGA_dbg
-add wave -position end  sim:/sim_tb/Fine
-add wave -position end  sim:/sim_tb/cal_cnt
-add wave -position end  sim:/sim_tb/cal_cng_dbg
-add wave -position end  sim:/sim_tb/wrt_cal_cnt_dbg
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-run
-# Cannot continue because of fatal error.
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-# Can't move the Now cursor.
-add wave -position end  sim:/sim_tb/max_curr_dbg
-add wave -position end  sim:/sim_tb/max_next_dbg
-add wave -position end  sim:/sim_tb/min_curr_dbg
-add wave -position end  sim:/sim_tb/min_next_dbg
-add wave -position end  sim:/sim_tb/read_next_dbg
-add wave -position end  sim:/sim_tb/write_curr_dbg
-add wave -position end  sim:/sim_tb/write_next_dbg
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 5  sim:/sim_tb/min_Cal
-add wave -position 3  sim:/sim_tb/Delta
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(34): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(34): (vcom-1136) Unknown identifier "chnl".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): (vcom-1136) Unknown identifier "read_cal_cnt".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(36): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(36): (vcom-1136) Unknown identifier "read_dflt_cnt".
-# ** Warning: /home/adrian/TDC_v4/compare_old.vhd(38): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): (vcom-1136) Unknown identifier "Do_Cal".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(41): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(41): (vcom-1136) Unknown identifier "Do_Cal".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(45): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(45): (vcom-1136) Unknown identifier "read_cal_cnt".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(46): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(46): (vcom-1136) Unknown identifier "read_dflt_cnt".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(47): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(47): (vcom-1136) Unknown identifier "Do_Cal".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(56): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): No feasible entries for infix operator "=".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Bad expression in left operand of infix expression "and".
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): Signal "FPGA_in" is type ieee.std_logic_1164.STD_LOGIC; expecting type ieee.std_logic_1164.STD_LOGIC_VECTOR.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(48): Signal "FPGA_in" is type ieee.std_logic_1164.STD_LOGIC; expecting type ieee.std_logic_1164.STD_LOGIC_VECTOR.
-# ** Error: /home/adrian/TDC_v4/compare_old.vhd(54): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(74): Target of signal assignment is not a signal.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(75): Target of signal assignment is not a signal.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): Signal "Min" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): near "!": expecting GENERATE or THEN
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): Signal "Max" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): near "!": expecting GENERATE or THEN
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(96): near "if": expecting ';'
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): Signal "Min" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): near "!": expecting GENERATE or THEN
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): Signal "Max" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): near "!": expecting GENERATE or THEN
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(96): near "if": expecting ';'
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(64): Variable declaration 'Max_var' not allowed in this region.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(65): Variable declaration 'Min_var' not allowed in this region.
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(99): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
-# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(146): No feasible entries for infix operator "/=".
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(146): Type error resolving infix expression "/=" as type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(229): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-# ** Error: /home/adrian/TDC_v4/default_val.vhd(60): near "end": expecting ';'
-# ** Error: /home/adrian/TDC_v4/default_val.vhd(67): near "process": expecting IF
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling entity Delta
-# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(60): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# ** Error: /home/adrian/TDC_v4/Memory.vhd(60): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(21): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(21): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
-# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity LUT
-# -- Compiling architecture lut of LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity LUT
-# -- Compiling architecture lut of LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# ** Error: (vcom-11) Could not find work.compare_old.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# ** Error: (vcom-11) Could not find work.compare_old.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# ** Error: (vcom-11) Could not find work.compare_old.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity compare_old
-# -- Compiling architecture Behavioral of compare_old
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity compare_old
-# -- Compiling architecture Behavioral of compare_old
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(10): near ")": expecting FUNCTION or PROCEDURE or IMPURE or PURE
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(10): near ")": expecting FUNCTION or PROCEDURE or IMPURE or PURE
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "CLK" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_b_r" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_data_w" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_data_f" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "min_curr_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "max_curr_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "min_next_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "max_next_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "FPGA" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "chnl" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "Do_Cal_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "default_val_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "chnl_cnt_in" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "new_data" has OPEN or no actual associated with it.
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(360): near "port": syntax error
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(492): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# Error: Save operation was not successful.
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
-# -- Loading package txt_util
-# -- Loading entity file_output
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
-# -- Loading package txt_util
-# -- Loading entity file_output
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
-# -- Loading package txt_util
-# -- Loading entity file_output
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
-# -- Loading package txt_util
-# -- Loading entity file_output
-# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(51): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(51): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/cnt_i
-restart
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
-# This port will contribute value (U) to the signal network.
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/cal_cng_dbg'. 
-# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Dflt_cnt_dbg'. 
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-# Causality operation skipped due to absence of debug database file
-add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Default_val/*
-# (vish-4014) No objects found matching 'sim:/sim_tb/Calibration/Cal_Limits/Default_val/*'.
-add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Default_val/*
-# (vish-4014) No objects found matching 'sim:/sim_tb/Calibration/Cal_Limits/Default_val/*'.
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 940 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 1180 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 940 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 1180 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity compare_old
-# -- Compiling architecture Behavioral of compare_old
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(444): (vcom-1136) Unknown identifier "FPGA_compare_old".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(460): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_next_i
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_next_i
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_curr_i
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_curr_i
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Fatal: (vsim-3421) Value 256 is out of range 436 to 563.
-#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Fatal: (vsim-3421) Value 256 is out of range 436 to 563.
-#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position end  sim:/sim_tb/Calibration/max_out_LUT
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position end  sim:/sim_tb/Calibration/write_dflt_cnt
-run
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position end  sim:/sim_tb/Calibration/Default_val_Mem
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position end  sim:/sim_tb/Calibration/Mem_next/new_data
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position end  sim:/sim_tb/Calibration/ent_dflt_val/dflt_i
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(58): near ";": syntax error
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(59): near ";": syntax error
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(247): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/write_dflt_cnt'. 
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/txt_util.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling package txt_util
-# -- Compiling package body txt_util
-# -- Loading package txt_util
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Compiling package trb_net_std
-# -- Compiling package body trb_net_std
-# -- Loading package trb_net_std
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity LUT
-# -- Compiling architecture lut of LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity input_env
-# -- Compiling architecture behavioral of input_env
-# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package txt_util
-# -- Compiling entity file_output
-# -- Compiling architecture log_to_file of file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Compiling entity Delta
-# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity compare_old
-# -- Compiling architecture Behavioral of compare_old
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-add wave -position end  sim:/sim_tb/write_dflt_cnt_dbg
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-add wave -position end  sim:/sim_tb/Calibration/FPGA_Lim
-add wave -position end  sim:/sim_tb/Calibration/FPGA_out_compare_old
-add wave -position end  sim:/sim_tb/Calibration/chnl_Lim
-add wave -position end  sim:/sim_tb/Calibration/CHNL_out_compare_old
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-add wave -position 5  sim:/sim_tb/Calibration/Cal_Limits/max_out
-add wave -position 6  sim:/sim_tb/Calibration/Cal_Limits/min_out
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-add wave -position 16  sim:/sim_tb/Calibration/write_curr
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position 26  sim:/sim_tb/Calibration/do_cal_LIM
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-add wave -position 26  sim:/sim_tb/Calibration/Do_Cal_Memory
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 720 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_out
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_out
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/Delta_i
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 720 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Default_val_Mem
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity LUT
-# -- Compiling architecture lut of LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/new_data_Memory
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_curr_in
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_curr_in
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/chnl
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Mem_curr/Min
-add wave -position end  sim:/sim_tb/Calibration/Mem_curr/Max
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity compare_old
-# -- Compiling architecture Behavioral of compare_old
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity LUT
-# -- Compiling architecture lut of LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_cnt
-# -- Compiling architecture Behavioral of read_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity read_Memory
-# -- Compiling architecture Behavioral of read_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position end  sim:/sim_tb/Calibration/Mem_curr/chnl_read
-add wave -position end  sim:/sim_tb/Calibration/Mem_curr/chnl_write
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
-#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 640 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 640 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-add wave -position end  sim:/sim_tb/Calibration/cal_cnt_out
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-add wave -position end  sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-add wave -position end  sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt_out
-add wave -position end  sim:/sim_tb/Calibration/cal_cnt_out
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 640 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-add wave -position end  sim:/sim_tb/Calibration/ent_cnt_val/chnl_write
-add wave -position end  sim:/sim_tb/Calibration/write_chnl_cnt
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(82): near "chnl_out": expecting ';'
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(257): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity cnt_val
-# -- Compiling architecture Behavioral of cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 37  sim:/sim_tb/Calibration/min_next_Lim
-add wave -position 38  sim:/sim_tb/Calibration/max_next_Lim
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 385 is out of range 436 to 563.
-#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 560 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 720 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 25  sim:/sim_tb/Calibration/Cal_Limits/FPGA
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 31 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 66 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 66 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 31  sim:/sim_tb/Calibration/Mem_next/Max
-add wave -position 32  sim:/sim_tb/Calibration/Mem_next/Min
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 18  sim:/sim_tb/Calibration/Cal_Limits/min_next_in
-add wave -position 19  sim:/sim_tb/Calibration/Cal_Limits/max_next_in
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 31 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
-#    Time: 600 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 280 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
-#    Time: 600 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/cnt_ii
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/min_next_in
-add wave -position 28  sim:/sim_tb/Calibration/Cal_Limits/max_next_in
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(298): near "else": expecting END
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(337): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 8 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 8 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/chnl_ii
-add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/chnl
-add wave -position 28  sim:/sim_tb/Calibration/Cal_Limits/chnl_i
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
-#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 38  sim:/sim_tb/Calibration/Mem_next/Max_out
-add wave -position 39  sim:/sim_tb/Calibration/Mem_next/Min_out
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): No feasible entries for infix operator "=".
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): Bad expression in right operand of infix expression "and".
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(338): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 16  sim:/sim_tb/Calibration/read_compare_old
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 43  sim:/sim_tb/Calibration/Mem_curr/Max_out
-add wave -position 44  sim:/sim_tb/Calibration/Mem_curr/Min_out
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
-#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 45  sim:/sim_tb/Calibration/Do_Cal_Memory
-add wave -position 45  sim:/sim_tb/Calibration/Do_Cal_compare_old
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
-#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 46  sim:/sim_tb/Calibration/FPGA_out_compare_old
-add wave -position 47  sim:/sim_tb/Calibration/FPGA_Lim
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 19  sim:/sim_tb/Calibration/Cal_Limits/chnl_ii
-add wave -position 19  sim:/sim_tb/Calibration/Cal_Limits/cnt_ii
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(198): near "if": expecting ';'
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(204): near "else": expecting END
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(212): near "else": expecting END
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(212): near "else": expecting END
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(216): near "process": expecting IF
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(221): Illegal sequential statement.
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): near "Behavioral": expecting IF
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 560 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 37 is out of range 436 to 563.
-#    Time: 560 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(199): near "max_next_i": expecting THEN
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(219): near "if": expecting PROCESS
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 37 is out of range 436 to 563.
-#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(329): near "else": expecting END
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(373): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 64 is out of range 436 to 563.
-#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 18  sim:/sim_tb/Calibration/Do_Cal_Memory
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 28  sim:/sim_tb/Calibration/Cal_Limits/use_old
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity dflt_val
-# -- Compiling architecture Behavioral of dflt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(367): near ")": syntax error
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(369): Statement cannot be labeled.
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(464): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-add wave -position 30  sim:/sim_tb/Calibration/Cal_Limits/FPGA_out
-add wave -position 32  sim:/sim_tb/Calibration/Cal_Limits/chnl_out
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(370): (vcom-1484) Unknown formal identifier "chnl_out_write".
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(371): (vcom-1484) Unknown formal identifier "FPGA_out_write".
-# -- Loading entity LUT
-# ** Error: /home/adrian/TDC_v4/Calibration.vhd(469): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 62 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 21  sim:/sim_tb/Calibration/Cal_Limits/cnt_iii
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/max_next_iii
-add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/max_next_ii
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/min_curr_ii
-add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/min_curr_iii
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/min_next_ii
-add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/min_next_iii
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/min_next_iii'. 
-# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/max_next_iii'. 
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/cnt_iii'. 
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/max_curr_ii
-add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/min_curr_ii
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 52  sim:/sim_tb/Calibration/Mem_curr/Min_out
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Compiling entity Memory
-# -- Compiling architecture Behavioral of Memory
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 60  sim:/sim_tb/Calibration/Cal_Limits/chnl_out_write
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 660 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 11 is out of range 436 to 563.
-#    Time: 660 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
-#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 240 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 260 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
-step
-# Cannot continue because of fatal error.
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/Cal_Limits.vhd 164 Process Mem_next
-# 
-# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
-# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
-# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-add wave -position 41  sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt
-add wave -position 42  sim:/sim_tb/Calibration/ent_cnt_val/FPGA_read
-add wave -position 43  sim:/sim_tb/Calibration/ent_cnt_val/FPGA_write
-add wave -position 44  sim:/sim_tb/Calibration/ent_cnt_val/chnl_read
-add wave -position 45  sim:/sim_tb/Calibration/ent_cnt_val/chnl_write
-add wave -position 46  sim:/sim_tb/Calibration/write_chnl_cnt
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(93): near ":": expecting ';'
-# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(380): VHDL Compiler exiting
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-restart
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Calibration
-# -- Compiling architecture Behavioral of Calibration
-# -- Loading entity read_cnt
-# -- Loading entity compare_old
-# -- Loading entity cnt_val
-# -- Loading entity dflt_val
-# -- Loading entity Memory
-# -- Loading entity Cal_Limits
-# -- Loading entity LUT
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
-#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
-#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
-# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
-# 
-# HDL call sequence:
-# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
-# 
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Loading package NUMERIC_STD
-# -- Compiling entity sim_tb
-# -- Compiling architecture Behavioral of sim_tb
-# -- Loading entity Calibration
-# -- Loading package txt_util
-# -- Loading entity file_output
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
-# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
-# -- Loading package STANDARD
-# -- Loading package TEXTIO
-# -- Loading package std_logic_1164
-# -- Loading package NUMERIC_STD
-# -- Loading package std_logic_arith
-# -- Loading package STD_LOGIC_UNSIGNED
-# -- Loading package trb_net_std
-# -- Compiling entity Cal_Limits
-# -- Compiling architecture Behavioral of Cal_Limits
-restart
-# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
-# Loading std.standard
-# Loading std.textio(body)
-# Loading ieee.std_logic_1164(body)
-# Loading ieee.std_logic_arith(body)
-# Loading ieee.std_logic_unsigned(body)
-# Loading work.trb_net_std(body)
-# Loading ieee.numeric_std(body)
-# Loading work.txt_util(body)
-# Loading work.sim_tb(behavioral)#1
-# Loading work.calibration(behavioral)#1
-run
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
-#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
-# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
-#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val