architecture adc_ad9219_chip_arch of adc_ad9219_chip is
-- Placer Directives
- attribute HGROUP : string;
- attribute HGROUP of adc_ad9219_chip_arch : architecture is "ADC_AD9219_CHIP_group";
+ --attribute HGROUP : string;
+ --attribute HGROUP of adc_ad9219_chip_arch : architecture is "ADC_AD9219_CHIP_group";
signal qq, qqq : std_logic_vector(19 downto 0);
architecture Structure of fifo_cdt_200_50 is
- -- Placer Directives, manually added
- attribute HGROUP : string;
- attribute HGROUP of Structure : architecture is "FIFO_CDT_200_50_group";
-
-- internal signal declarations
signal invout_1: std_logic;
signal invout_0: std_logic;