SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0');
- SERDES_TX : out std_logic_vector(13 downto 0);
- SERDES_RX : in std_logic_vector(13 downto 0);
-
-- LED_HUB_LINKOK : out std_logic_vector(6 downto 1);
-- LED_HUB_RX : out std_logic_vector(6 downto 1);
-- LED_HUB_TX : out std_logic_vector(6 downto 1);
TX_DLM_WORD => open,
--SFP Connection
- SD_RXD_P_IN => SERDES_RX(0),
- SD_RXD_N_IN => SERDES_RX(1),
- SD_TXD_P_OUT => SERDES_TX(0),
- SD_TXD_N_OUT => SERDES_TX(1),
SD_REFCLK_P_IN => '0',
SD_REFCLK_N_IN => '0',
SD_PRSNT_N_IN => SFP_MOD0(1),
TX_DLM_WORD => open,
--SFP Connection
- --SFP Connection
- SD_RXD_P_IN => SERDES_RX(5 downto 2),
- SD_RXD_N_IN => SERDES_RX(9 downto 6),
- SD_TXD_P_OUT => SERDES_TX(5 downto 2),
- SD_TXD_N_OUT => SERDES_TX(9 downto 6),
-
SD_PRSNT_N_IN => backplane_rx_present(3 downto 0),
SD_LOS_IN => backplane_rx_present(3 downto 0),
SD_TXDIS_OUT => backplane_tx_present(3 downto 0),
CTRL_DEBUG => open
);
-gen_ready_signals : for i in 0 to 1 generate
+gen_ready_signals : for i in 0 to 3 generate
backplane_rx_present(i) <= BACK_GPIO(i*4);
BACK_GPIO(i*4+1) <= backplane_tx_present(i);
end generate;