PACKET_NUM_OUT : out std_logic_vector(1 downto 0); -- Input data
READ_ENABLE_IN : in std_logic;
FULL_OUT : out std_logic; -- Full Flag
- EMPTY_OUT : out std_logic;
- DEPTH_OUT : out std_logic_vector(7 downto 0)
+ EMPTY_OUT : out std_logic
);
end entity;
din(c_DATA_WIDTH + 1 downto c_DATA_WIDTH) <= PACKET_NUM_IN;
DATA_OUT <= dout(c_DATA_WIDTH - 1 downto 0);
PACKET_NUM_OUT <= dout(c_DATA_WIDTH + 1 downto c_DATA_WIDTH);
- DEPTH_OUT <= std_logic_vector(to_unsigned(DEPTH,8));
gen_FIFO6 : if DEPTH = 6 generate
fifo:lattice_ecp2m_fifo_18x1k
Empty => buf_empty_out,
Full => buf_full_out
);
-
+empty_out <= buf_empty_out;
+full_out <= buf_full_out;
almost_empty_out <= buf_empty_out;
almost_full_out <= buf_full_out;
fifostatus_out <= (others => '0');
end if;
end process;
- send_ack : process(state, API_READ_IN, ram_read_dout, read_UNIQUE_ID, last_ram_read_addr2, sending_state)
+ send_ack : process(state, API_READ_IN, ram_read_dout, last_ram_read_addr2, sending_state)
begin
next_state <= state;
API_DATA_OUT <= ram_read_dout;
use work.trb_net_std.all;
entity trb_net16_med_ecp_sfp is
-port( CLK : in std_logic;
+ port(
+ CLK : in std_logic;
RESET : in std_logic; -- synchronous reset
CLK_EN : in std_logic;
--Internal Connection
--the EOB and ACK flags must be available when the last packet is sent.
--full buffers (despite the sbuf) can only occur on the last packet.
COMB_NEXT_TRANSFER : process(comb_dataready, transfer_counter, current_NOP_word,
- CURRENT_DATA_COUNT, reg_SEND_ACK_IN, INT_DATAREADY_IN, INT_DATA_IN,
+ INT_DATAREADY_IN,
reg_INT_READ_OUT, saved_packet_type, sending_state,
current_DATA_word, send_ACK, send_EOB, sbuf_free, RESET,
current_ACK_word, current_EOB_word, INT_PACKET_NUM_IN,
STAT_DEBUG(17 downto 15) <= REC_BUFFER_SIZE_IN(2 downto 0);
STAT_DEBUG(19 downto 18) <= transfer_counter(1 downto 0);
STAT_DEBUG(20) <= '1';
+STAT_DEBUG(31 downto 21) <= (others => '0');
end architecture;
\ No newline at end of file
API_DTYPE_OUT : out std_logic_vector (3 downto 0);
API_ERROR_PATTERN_OUT : out std_logic_vector (31 downto 0);
API_SEND_OUT : out std_logic;
- API_TARGET_ADDRESS_OUT : out std_logic_vector (15 downto 0);
-- Receiver port
API_DATA_IN : in std_logic_vector (c_DATA_WIDTH-1 downto 0);
API_PACKET_NUM_IN : in std_logic_vector (c_NUM_WIDTH-1 downto 0);
buf_API_SEND_OUT, next_packet_counter, buf_API_DATA_OUT, buf_API_SHORT_TRANSFER_OUT,
REGISTERS_IN, buf_REGISTERS_OUT, reg_enable_pattern, DAT_NO_MORE_DATA_IN,
DAT_DATAREADY_IN, buf_DAT_DATA_IN, ADR_REJECTED,
- ADR_READ_OUT, ADR_DATAREADY_OUT, ADR_DATA_OUT, ADR_PACKET_NUM_OUT, length, dont_understand,
+ ADR_READ_OUT, ADR_DATAREADY_OUT, ADR_DATA_OUT, length, dont_understand,
buf_rom_read_addr, ADR_SEND_OUT, rom_read_dout, COMMON_STAT_REG_IN, buf_COMMON_CTRL_REG_OUT
-- , HDR_F1, HDR_F2, HDR_F3
)
API_SHORT_TRANSFER_OUT <= buf_API_SHORT_TRANSFER_OUT;
API_DTYPE_OUT <= saved_operation;
API_ERROR_PATTERN_OUT <= buf_API_ERROR_PATTERN_OUT;
- API_TARGET_ADDRESS_OUT <= (others => '0');
DAT_DATA_OUT <= buf_DAT_DATA_OUT;
DAT_READ_ENABLE_OUT <= buf_DAT_READ_ENABLE_OUT;
DAT_WRITE_ENABLE_OUT <= buf_DAT_WRITE_ENABLE_OUT;
next_b2_buffer <= COMB_DATA_IN;
end generate;
- COMB: process (current_buffer_state, COMB_DATAREADY_IN, COMB_READ_IN,
- SYN_READ_IN, COMB_DATA_IN, current_b1_buffer, current_b2_buffer,
+ COMB: process (current_buffer_state, SYN_READ_IN, COMB_DATA_IN,
current_SYN_DATAREADY_OUT, current_got_overflow,
- combined_COMB_DATAREADY_IN, current_next_READ_OUT)
+ combined_COMB_DATAREADY_IN)
begin -- process COMB
next_buffer_state <= current_buffer_state;
next_next_READ_OUT <= '1';