EXT_TRIGGER_IN : in std_logic;
EXT_STATUS_IN : in std_logic_vector(31 downto 0) := X"00000000";
EXT_CONTROL_OUT : out std_logic_vector(31 downto 0);
+ EXT_HEADER_BITS_IN : in std_logic_vector( 1 downto 0) := "00";
+
-- CTS Endpoint -----------------------------------------------------------
--LVL1 trigger
CTS_REGIO_WRITE_ACK_OUT : out std_logic;
CTS_REGIO_UNKNOWN_ADDR_OUT : out std_logic;
- TDC_HEADER_BITS_IN : in std_logic_vector( 1 downto 0) := "00";
-- Frontend Endpoint -----------------------------------------------------
--Data Port