end if;
end if;
end process;
- apl_send_in_down_timeout <= apl_send_in_timeout_counter;
+ apl_send_in_down_timeout <= apl_send_in_timeout_counter(3);
---------------------------------------
--regenerate long packet numbers
--STAT_FIFO_TO_APL(13 downto 12) <= (others => '0');
STAT_FIFO_TO_APL(31 downto 16) <= (others => '0');
-
end architecture;
evt_number_mismatch <= '0';
evt_code_mismatch <= '0';
elsif make_compare = '1' then
- if IPU_DATA(15 downto 0) /= buf_NUMBER then --was reg_
+ if IPU_DATA_IN(15 downto 0) /= buf_NUMBER then --was reg_
evt_number_mismatch <= '1';
end if;
- if IPU_DATA(23 downto 16) /= buf_RND_CODE then --was reg_
+ if IPU_DATA_IN(23 downto 16) /= buf_RND_CODE then --was reg_
evt_code_mismatch <= '1';
end if;
end if;
--Information received with request
IPU_NUMBER_OUT : out std_logic_vector (15 downto 0);
- IPU_READOUT_TYPE_OUT : out std_logic_vector (7 downto 0);
+ IPU_READOUT_TYPE_OUT : out std_logic_vector (3 downto 0);
IPU_INFORMATION_OUT : out std_logic_vector (7 downto 0);
--start strobe
IPU_START_READOUT_OUT: out std_logic;