#################################################################\r
# AddOn Connector\r
#################################################################\r
-# LOCATE COMP "DQLL0_0_N" SITE "AA1";\r
-# LOCATE COMP "DQLL0_1_N" SITE "AB1";\r
-# LOCATE COMP "DQLL0_2_N" SITE "AA3";\r
-# LOCATE COMP "DQLL0_3_N" SITE "AB5";\r
-# LOCATE COMP "DQLL0_4_N" SITE "AA7";\r
-# LOCATE COMP "DQLL1_0_N" SITE "Y1";\r
-# LOCATE COMP "DQLL1_1_N" SITE "W3";\r
-# LOCATE COMP "DQLL1_2_N" SITE "W1";\r
-# LOCATE COMP "DQLL1_3_N" SITE "W9";\r
-# LOCATE COMP "DQLL1_4_N" SITE "AA8";\r
-# LOCATE COMP "DQLL2_0_N" SITE "AC4";\r
-# LOCATE COMP "DQLL2_1_N" SITE "AC1";\r
-# LOCATE COMP "DQLL2_2_N" SITE "AB3";\r
-# LOCATE COMP "DQLL2_3_N" SITE "AB8";\r
-# LOCATE COMP "DQLL2_4_N" SITE "AB6";\r
-# LOCATE COMP "DQLL3_0_N" SITE "AE3";\r
-# LOCATE COMP "DQLL3_1_N" SITE "AC10"\r
-# LOCATE COMP "DQLL3_2_N" SITE "AE1";\r
-# LOCATE COMP "DQLL3_3_N" SITE "AD3";\r
-# LOCATE COMP "DQLL3_4_N" SITE "AC8";\r
-# LOCATE COMP "DQLR0_0_N" SITE "AB33"\r
-# LOCATE COMP "DQLR0_1_N" SITE "AA26"\r
-# LOCATE COMP "DQLR0_2_N" SITE "AC33"\r
-# LOCATE COMP "DQLR0_3_N" SITE "AA30"\r
-# LOCATE COMP "DQLR0_4_N" SITE "AA27"\r
-# LOCATE COMP "DQLR1_0_N" SITE "AD30"\r
-# LOCATE COMP "DQLR1_1_N" SITE "AB31"\r
-# LOCATE COMP "DQLR1_2_N" SITE "AE33"\r
-# LOCATE COMP "DQLR1_3_N" SITE "AD34"\r
-# LOCATE COMP "DQLR1_4_N" SITE "AG34"\r
-# LOCATE COMP "DQLR2_0_N" SITE "W29";\r
-# LOCATE COMP "DQLR2_1_N" SITE "W26";\r
-# LOCATE COMP "DQLR2_2_N" SITE "W33";;\r
-# LOCATE COMP "DQLR2_3_N" SITE "Y33";;\r
-# LOCATE COMP "DQLR2_4_N" SITE "Y25";\r
-# LOCATE COMP "DQSLL0_C" SITE "AB9";\r
-# LOCATE COMP "DQSLL1_C" SITE "Y6";\r
-# LOCATE COMP "DQSLL2_C" SITE "AE5";\r
-# LOCATE COMP "DQSLL3_C" SITE "AK1";\r
-# LOCATE COMP "DQSLR0_C" SITE "AC30"\r
-# LOCATE COMP "DQSLR1_C" SITE "AB25";\r
-# LOCATE COMP "DQSLR2_C" SITE "AA29";\r
-# LOCATE COMP "DQSUL0_C" SITE "M9";;\r
-# LOCATE COMP "DQSUL1_C" SITE "L9";;\r
-# LOCATE COMP "DQSUL2_C" SITE "H3";;\r
-# LOCATE COMP "DQSUL3_C" SITE "N10";;\r
-# LOCATE COMP "DQSUR0_C" SITE "M27";;\r
-# LOCATE COMP "DQSUR1_C" SITE "N28";;\r
-# LOCATE COMP "DQSUR2_C" SITE "U30";;\r
-# LOCATE COMP "DQUL0_0_N" SITE "L4";;\r
-# LOCATE COMP "DQUL0_1_N" SITE "M3";;\r
-# LOCATE COMP "DQUL0_2_N" SITE "K5";;\r
-# LOCATE COMP "DQUL0_3_N" SITE "M1";;\r
-# LOCATE COMP "DQUL0_4_N" SITE "L6";;\r
-# LOCATE COMP "DQUL1_0_N" SITE "L1";;\r
-# LOCATE COMP "DQUL1_1_N" SITE "K1";;\r
-# LOCATE COMP "DQUL1_2_N" SITE "K3";;\r
-# LOCATE COMP "DQUL1_3_N" SITE "L7";;\r
-# LOCATE COMP "DQUL1_4_N" SITE "J6";;\r
-# LOCATE COMP "DQUL2_0_N" SITE "F1";;\r
-# LOCATE COMP "DQUL2_1_N" SITE "E3";\r
-# LOCATE COMP "DQUL2_2_N" SITE "G1";\r
-# LOCATE COMP "DQUL2_3_N" SITE "J1";\r
-# LOCATE COMP "DQUL2_4_N" SITE "H2";\r
-# LOCATE COMP "DQUL3_0_N" SITE "N3";\r
-# LOCATE COMP "DQUL3_1_N" SITE "N1";\r
-# LOCATE COMP "DQUL3_2_N" SITE "N5";\r
-# LOCATE COMP "DQUL3_3_N" SITE "P4";\r
-# LOCATE COMP "DQUL3_4_N" SITE "P8";\r
-# LOCATE COMP "DQUR0_0_N" SITE "M25";\r
-# LOCATE COMP "DQUR0_1_N" SITE "L31";\r
-# LOCATE COMP "DQUR0_2_N" SITE "L33";;\r
-# LOCATE COMP "DQUR0_3_N" SITE "K30";\r
-# LOCATE COMP "DQUR0_4_N" SITE "K33";\r
-# LOCATE COMP "DQUR1_0_N" SITE "N29";\r
-# LOCATE COMP "DQUR1_1_N" SITE "P26";\r
-# LOCATE COMP "DQUR1_2_N" SITE "N31";\r
-# LOCATE COMP "DQUR1_3_N" SITE "N33";\r
-# LOCATE COMP "DQUR1_4_N" SITE "P27";;\r
-# LOCATE COMP "DQUR2_0_N" SITE "T31";;\r
-# LOCATE COMP "DQUR2_1_N" SITE "T27";;\r
-# LOCATE COMP "DQUR2_2_N" SITE "U31";;\r
-# LOCATE COMP "DQUR2_3_N" SITE "T33";;\r
-# LOCATE COMP "DQUR2_4_N" SITE "U27";\r
-\r
-LOCATE COMP "DQLL0_0" SITE "AA2"; #was "DQLL0_0_P" 1\r
-LOCATE COMP "DQLL0_1" SITE "AB2"; #was "DQLL0_1_P" 5\r
-LOCATE COMP "DQLL0_2" SITE "AA4"; #was "DQLL0_2_P" 9\r
-LOCATE COMP "DQSLL0" SITE "AA10"; #was "DQSLL0_T" 13\r
-LOCATE COMP "DQLL0_3" SITE "AA5"; #was "DQLL0_3_P" 17\r
-LOCATE COMP "DQLL0_4" SITE "Y7"; #was "DQLL0_4_P" 21\r
-LOCATE COMP "DQLL2_0" SITE "AC5"; #was "DQLL2_0_P" 25\r
-LOCATE COMP "DQLL2_1" SITE "AC2"; #was "DQLL2_1_P" 29\r
-LOCATE COMP "DQLL2_2" SITE "AB4"; #was "DQLL2_2_P" 33\r
-LOCATE COMP "DQSLL2" SITE "AD5"; #was "DQSLL2_T" 37\r
-LOCATE COMP "DQLL2_3" SITE "AA9"; #was "DQLL2_3_P" 41\r
-LOCATE COMP "DQLL2_4" SITE "AB7"; #was "DQLL2_4_P" 45\r
-LOCATE COMP "DQUL3_0" SITE "N4"; #was "DQUL3_0_P" 49\r
-LOCATE COMP "DQUL3_1" SITE "N2"; #was "DQUL3_1_P" 53\r
-LOCATE COMP "DQUL3_2" SITE "M5"; #was "DQUL3_2_P" 57\r
-LOCATE COMP "DQSUL3" SITE "M10"; #was "DQSUL3_T" 61\r
-LOCATE COMP "DQUL3_3" SITE "P5"; #was "DQUL3_3_P" 65\r
-LOCATE COMP "DQUL3_4" SITE "N8"; #was "DQUL3_4_P" 69\r
-LOCATE COMP "DQUL1_0" SITE "L2"; #was "DQUL1_0_P" 73\r
-LOCATE COMP "DQUL1_1" SITE "K2"; #was "DQUL1_1_P" 77\r
-LOCATE COMP "DQUL1_2" SITE "K4"; #was "DQUL1_2_P" 81\r
-LOCATE COMP "DQSUL1" SITE "L10"; #was "DQSUL1_T" 85\r
-LOCATE COMP "DQUL1_3" SITE "M8"; #was "DQUL1_3_P" 89\r
-LOCATE COMP "DQUL1_4" SITE "K7"; #was "DQUL1_4_P" 93\r
-\r
-LOCATE COMP "DQUR0_0" SITE "L26"; #was "DQUR0_0_P" 105\r
-LOCATE COMP "DQUR0_1" SITE "L32"; #was "DQUR0_1_P" 109\r
-LOCATE COMP "DQSUR0" SITE "M26"; #was "DQSUR0_T" 113\r
-LOCATE COMP "DQUR0_2" SITE "L34"; #was "DQUR0_2_P" 117\r
-LOCATE COMP "DQUR0_3" SITE "K29"; #was "DQUR0_3_P" 121\r
-LOCATE COMP "DQUR0_4" SITE "K34"; #was "DQUR0_4_P" 125\r
-LOCATE COMP "DQLR0_0" SITE "AB34"; #was "DQLR0_0_P" 129\r
-LOCATE COMP "DQLR0_1" SITE "AA25"; #was "DQLR0_1_P" 133\r
-LOCATE COMP "DQLR0_2" SITE "AC34"; #was "DQLR0_2_P" 137\r
-LOCATE COMP "DQSLR0" SITE "AB30"; #was "DQSLR0_T" 141\r
-LOCATE COMP "DQLR0_3" SITE "AA31"; #was "DQLR0_3_P" 145\r
-LOCATE COMP "DQLR0_4" SITE "AA28"; #was "DQLR0_4_P" 149\r
-\r
-LOCATE COMP "DQLR1_0" SITE "AD31"; #was "DQLR1_0_P" 169\r
-LOCATE COMP "DQLR1_1" SITE "AB32"; #was "DQLR1_1_P" 173\r
-LOCATE COMP "DQLR1_2" SITE "AE34"; #was "DQLR1_2_P" 177\r
-LOCATE COMP "DQSLR1" SITE "AB26"; #was "DQSLR1_T" 181\r
-LOCATE COMP "DQLR1_3" SITE "AD33"; #was "DQLR1_3_P" 185\r
-LOCATE COMP "DQLR1_4" SITE "AF34"; #was "DQLR1_4_P" 189\r
-\r
-\r
-LOCATE COMP "DQLL3_0" SITE "AE4"; #was "DQLL3_0_P" 2\r
-LOCATE COMP "DQLL3_1" SITE "AB10"; #was "DQLL3_1_P" 6\r
-LOCATE COMP "DQLL3_2" SITE "AE2"; #was "DQLL3_2_P" 10\r
-LOCATE COMP "DQSLL3" SITE "AJ1"; #was "DQSLL3_T" 14\r
-LOCATE COMP "DQLL3_3" SITE "AD4"; #was "DQLL3_3_P" 18\r
-LOCATE COMP "DQLL3_4" SITE "AC9"; #was "DQLL3_4_P" 22\r
-LOCATE COMP "DQLL1_0" SITE "Y2"; #was "DQLL1_0_P" 26\r
-LOCATE COMP "DQLL1_1" SITE "W4"; #was "DQLL1_1_P" 30\r
-LOCATE COMP "DQLL1_2" SITE "W2"; #was "DQLL1_2_P" 34\r
-LOCATE COMP "DQSLL1" SITE "W6"; #was "DQSLL1_T" 38\r
-LOCATE COMP "DQLL1_3" SITE "W8"; #was "DQLL1_3_P" 42\r
-LOCATE COMP "DQLL1_4" SITE "Y8"; #was "DQLL1_4_P" 46\r
-LOCATE COMP "DQUL2_0" SITE "F2"; #was "DQUL2_0_P" 50\r
-LOCATE COMP "DQUL2_1" SITE "F3"; #was "DQUL2_1_P" 54\r
-LOCATE COMP "DQUL2_2" SITE "G2"; #was "DQUL2_2_P" 58\r
-LOCATE COMP "DQSUL2" SITE "G3"; #was "DQSUL2_T" 62\r
-LOCATE COMP "DQUL2_3" SITE "H1"; #was "DQUL2_3_P" 66\r
-LOCATE COMP "DQUL2_4" SITE "J3"; #was "DQUL2_4_P" 70\r
-LOCATE COMP "DQUL0_0" SITE "L5"; #was "DQUL0_0_P" 74\r
-LOCATE COMP "DQUL0_1" SITE "M4"; #was "DQUL0_1_P" 78\r
-LOCATE COMP "DQUL0_2" SITE "K6"; #was "DQUL0_2_P" 82\r
-LOCATE COMP "DQSUL0" SITE "N9"; #was "DQSUL0_T" 86\r
-LOCATE COMP "DQUL0_3" SITE "M2"; #was "DQUL0_3_P" 90\r
-LOCATE COMP "DQUL0_4" SITE "M7"; #was "DQUL0_4_P" 94\r
-\r
-LOCATE COMP "DQUR1_0" SITE "N30"; #was "DQUR1_0_P" 106\r
-LOCATE COMP "DQUR1_1" SITE "N26"; #was "DQUR1_1_P" 110\r
-LOCATE COMP "DQUR1_2" SITE "N32"; #was "DQUR1_2_P" 114\r
-LOCATE COMP "DQSUR1" SITE "N27"; #was "DQSUR1_T" 118\r
-LOCATE COMP "DQUR1_3" SITE "N34"; #was "DQUR1_3_P" 122\r
-LOCATE COMP "DQUR1_4" SITE "P28"; #was "DQUR1_4_P" 126\r
-LOCATE COMP "DQUR2_0" SITE "T32"; #was "DQUR2_0_P" 130\r
-LOCATE COMP "DQUR2_1" SITE "T26"; #was "DQUR2_1_P" 134\r
-LOCATE COMP "DQUR2_2" SITE "U32"; #was "DQUR2_2_P" 138\r
-LOCATE COMP "DQSUR2" SITE "T30"; #was "DQSUR2_T" 142\r
-LOCATE COMP "DQUR2_3" SITE "T34"; #was "DQUR2_3_P" 146\r
-LOCATE COMP "DQUR2_4" SITE "U26"; #was "DQUR2_4_P" 150\r
-\r
-LOCATE COMP "DQLR2_0" SITE "W30"; #was "DQLR2_0_P" 170\r
-LOCATE COMP "DQLR2_1" SITE "W27"; #was "DQLR2_1_P" 174\r
-LOCATE COMP "DQLR2_2" SITE "W34"; #was "DQLR2_2_P" 178\r
-LOCATE COMP "DQSLR2" SITE "Y30"; #was "DQSLR2_T" 182\r
-LOCATE COMP "DQLR2_3" SITE "Y34"; #was "DQLR2_3_P" 186\r
-LOCATE COMP "DQLR2_4" SITE "Y26"; #was "DQLR2_4_P" 190\r
-\r
-DEFINE PORT GROUP "DQ_group" "DQ*" ;\r
-IOBUF GROUP "DQ_group" IO_TYPE=LVDS25 DIFFRESISTOR=100; \r
+# # LOCATE COMP "DQLL0_0_N" SITE "AA1";\r
+# # LOCATE COMP "DQLL0_1_N" SITE "AB1";\r
+# # LOCATE COMP "DQLL0_2_N" SITE "AA3";\r
+# # LOCATE COMP "DQLL0_3_N" SITE "AB5";\r
+# # LOCATE COMP "DQLL0_4_N" SITE "AA7";\r
+# # LOCATE COMP "DQLL1_0_N" SITE "Y1";\r
+# # LOCATE COMP "DQLL1_1_N" SITE "W3";\r
+# # LOCATE COMP "DQLL1_2_N" SITE "W1";\r
+# # LOCATE COMP "DQLL1_3_N" SITE "W9";\r
+# # LOCATE COMP "DQLL1_4_N" SITE "AA8";\r
+# # LOCATE COMP "DQLL2_0_N" SITE "AC4";\r
+# # LOCATE COMP "DQLL2_1_N" SITE "AC1";\r
+# # LOCATE COMP "DQLL2_2_N" SITE "AB3";\r
+# # LOCATE COMP "DQLL2_3_N" SITE "AB8";\r
+# # LOCATE COMP "DQLL2_4_N" SITE "AB6";\r
+# # LOCATE COMP "DQLL3_0_N" SITE "AE3";\r
+# # LOCATE COMP "DQLL3_1_N" SITE "AC10"\r
+# # LOCATE COMP "DQLL3_2_N" SITE "AE1";\r
+# # LOCATE COMP "DQLL3_3_N" SITE "AD3";\r
+# # LOCATE COMP "DQLL3_4_N" SITE "AC8";\r
+# # LOCATE COMP "DQLR0_0_N" SITE "AB33"\r
+# # LOCATE COMP "DQLR0_1_N" SITE "AA26"\r
+# # LOCATE COMP "DQLR0_2_N" SITE "AC33"\r
+# # LOCATE COMP "DQLR0_3_N" SITE "AA30"\r
+# # LOCATE COMP "DQLR0_4_N" SITE "AA27"\r
+# # LOCATE COMP "DQLR1_0_N" SITE "AD30"\r
+# # LOCATE COMP "DQLR1_1_N" SITE "AB31"\r
+# # LOCATE COMP "DQLR1_2_N" SITE "AE33"\r
+# # LOCATE COMP "DQLR1_3_N" SITE "AD34"\r
+# # LOCATE COMP "DQLR1_4_N" SITE "AG34"\r
+# # LOCATE COMP "DQLR2_0_N" SITE "W29";\r
+# # LOCATE COMP "DQLR2_1_N" SITE "W26";\r
+# # LOCATE COMP "DQLR2_2_N" SITE "W33";;\r
+# # LOCATE COMP "DQLR2_3_N" SITE "Y33";;\r
+# # LOCATE COMP "DQLR2_4_N" SITE "Y25";\r
+# # LOCATE COMP "DQSLL0_C" SITE "AB9";\r
+# # LOCATE COMP "DQSLL1_C" SITE "Y6";\r
+# # LOCATE COMP "DQSLL2_C" SITE "AE5";\r
+# # LOCATE COMP "DQSLL3_C" SITE "AK1";\r
+# # LOCATE COMP "DQSLR0_C" SITE "AC30"\r
+# # LOCATE COMP "DQSLR1_C" SITE "AB25";\r
+# # LOCATE COMP "DQSLR2_C" SITE "AA29";\r
+# # LOCATE COMP "DQSUL0_C" SITE "M9";;\r
+# # LOCATE COMP "DQSUL1_C" SITE "L9";;\r
+# # LOCATE COMP "DQSUL2_C" SITE "H3";;\r
+# # LOCATE COMP "DQSUL3_C" SITE "N10";;\r
+# # LOCATE COMP "DQSUR0_C" SITE "M27";;\r
+# # LOCATE COMP "DQSUR1_C" SITE "N28";;\r
+# # LOCATE COMP "DQSUR2_C" SITE "U30";;\r
+# # LOCATE COMP "DQUL0_0_N" SITE "L4";;\r
+# # LOCATE COMP "DQUL0_1_N" SITE "M3";;\r
+# # LOCATE COMP "DQUL0_2_N" SITE "K5";;\r
+# # LOCATE COMP "DQUL0_3_N" SITE "M1";;\r
+# # LOCATE COMP "DQUL0_4_N" SITE "L6";;\r
+# # LOCATE COMP "DQUL1_0_N" SITE "L1";;\r
+# # LOCATE COMP "DQUL1_1_N" SITE "K1";;\r
+# # LOCATE COMP "DQUL1_2_N" SITE "K3";;\r
+# # LOCATE COMP "DQUL1_3_N" SITE "L7";;\r
+# # LOCATE COMP "DQUL1_4_N" SITE "J6";;\r
+# # LOCATE COMP "DQUL2_0_N" SITE "F1";;\r
+# # LOCATE COMP "DQUL2_1_N" SITE "E3";\r
+# # LOCATE COMP "DQUL2_2_N" SITE "G1";\r
+# # LOCATE COMP "DQUL2_3_N" SITE "J1";\r
+# # LOCATE COMP "DQUL2_4_N" SITE "H2";\r
+# # LOCATE COMP "DQUL3_0_N" SITE "N3";\r
+# # LOCATE COMP "DQUL3_1_N" SITE "N1";\r
+# # LOCATE COMP "DQUL3_2_N" SITE "N5";\r
+# # LOCATE COMP "DQUL3_3_N" SITE "P4";\r
+# # LOCATE COMP "DQUL3_4_N" SITE "P8";\r
+# # LOCATE COMP "DQUR0_0_N" SITE "M25";\r
+# # LOCATE COMP "DQUR0_1_N" SITE "L31";\r
+# # LOCATE COMP "DQUR0_2_N" SITE "L33";;\r
+# # LOCATE COMP "DQUR0_3_N" SITE "K30";\r
+# # LOCATE COMP "DQUR0_4_N" SITE "K33";\r
+# # LOCATE COMP "DQUR1_0_N" SITE "N29";\r
+# # LOCATE COMP "DQUR1_1_N" SITE "P26";\r
+# # LOCATE COMP "DQUR1_2_N" SITE "N31";\r
+# # LOCATE COMP "DQUR1_3_N" SITE "N33";\r
+# # LOCATE COMP "DQUR1_4_N" SITE "P27";;\r
+# # LOCATE COMP "DQUR2_0_N" SITE "T31";;\r
+# # LOCATE COMP "DQUR2_1_N" SITE "T27";;\r
+# # LOCATE COMP "DQUR2_2_N" SITE "U31";;\r
+# # LOCATE COMP "DQUR2_3_N" SITE "T33";;\r
+# # LOCATE COMP "DQUR2_4_N" SITE "U27";\r
+# \r
+# LOCATE COMP "DQLL0_0" SITE "AA2"; #was "DQLL0_0_P" 1\r
+# LOCATE COMP "DQLL0_1" SITE "AB2"; #was "DQLL0_1_P" 5\r
+# LOCATE COMP "DQLL0_2" SITE "AA4"; #was "DQLL0_2_P" 9\r
+# LOCATE COMP "DQSLL0" SITE "AA10"; #was "DQSLL0_T" 13\r
+# LOCATE COMP "DQLL0_3" SITE "AA5"; #was "DQLL0_3_P" 17\r
+# LOCATE COMP "DQLL0_4" SITE "Y7"; #was "DQLL0_4_P" 21\r
+# LOCATE COMP "DQLL2_0" SITE "AC5"; #was "DQLL2_0_P" 25\r
+# LOCATE COMP "DQLL2_1" SITE "AC2"; #was "DQLL2_1_P" 29\r
+# LOCATE COMP "DQLL2_2" SITE "AB4"; #was "DQLL2_2_P" 33\r
+# LOCATE COMP "DQSLL2" SITE "AD5"; #was "DQSLL2_T" 37\r
+# LOCATE COMP "DQLL2_3" SITE "AA9"; #was "DQLL2_3_P" 41\r
+# LOCATE COMP "DQLL2_4" SITE "AB7"; #was "DQLL2_4_P" 45\r
+# LOCATE COMP "DQUL3_0" SITE "N4"; #was "DQUL3_0_P" 49\r
+# LOCATE COMP "DQUL3_1" SITE "N2"; #was "DQUL3_1_P" 53\r
+# LOCATE COMP "DQUL3_2" SITE "M5"; #was "DQUL3_2_P" 57\r
+# LOCATE COMP "DQSUL3" SITE "M10"; #was "DQSUL3_T" 61\r
+# LOCATE COMP "DQUL3_3" SITE "P5"; #was "DQUL3_3_P" 65\r
+# LOCATE COMP "DQUL3_4" SITE "N8"; #was "DQUL3_4_P" 69\r
+# LOCATE COMP "DQUL1_0" SITE "L2"; #was "DQUL1_0_P" 73\r
+# LOCATE COMP "DQUL1_1" SITE "K2"; #was "DQUL1_1_P" 77\r
+# LOCATE COMP "DQUL1_2" SITE "K4"; #was "DQUL1_2_P" 81\r
+# LOCATE COMP "DQSUL1" SITE "L10"; #was "DQSUL1_T" 85\r
+# LOCATE COMP "DQUL1_3" SITE "M8"; #was "DQUL1_3_P" 89\r
+# LOCATE COMP "DQUL1_4" SITE "K7"; #was "DQUL1_4_P" 93\r
+# \r
+# LOCATE COMP "DQUR0_0" SITE "L26"; #was "DQUR0_0_P" 105\r
+# LOCATE COMP "DQUR0_1" SITE "L32"; #was "DQUR0_1_P" 109\r
+# LOCATE COMP "DQSUR0" SITE "M26"; #was "DQSUR0_T" 113\r
+# LOCATE COMP "DQUR0_2" SITE "L34"; #was "DQUR0_2_P" 117\r
+# LOCATE COMP "DQUR0_3" SITE "K29"; #was "DQUR0_3_P" 121\r
+# LOCATE COMP "DQUR0_4" SITE "K34"; #was "DQUR0_4_P" 125\r
+# LOCATE COMP "DQLR0_0" SITE "AB34"; #was "DQLR0_0_P" 129\r
+# LOCATE COMP "DQLR0_1" SITE "AA25"; #was "DQLR0_1_P" 133\r
+# LOCATE COMP "DQLR0_2" SITE "AC34"; #was "DQLR0_2_P" 137\r
+# LOCATE COMP "DQSLR0" SITE "AB30"; #was "DQSLR0_T" 141\r
+# LOCATE COMP "DQLR0_3" SITE "AA31"; #was "DQLR0_3_P" 145\r
+# LOCATE COMP "DQLR0_4" SITE "AA28"; #was "DQLR0_4_P" 149\r
+# \r
+# LOCATE COMP "DQLR1_0" SITE "AD31"; #was "DQLR1_0_P" 169\r
+# LOCATE COMP "DQLR1_1" SITE "AB32"; #was "DQLR1_1_P" 173\r
+# LOCATE COMP "DQLR1_2" SITE "AE34"; #was "DQLR1_2_P" 177\r
+# LOCATE COMP "DQSLR1" SITE "AB26"; #was "DQSLR1_T" 181\r
+# LOCATE COMP "DQLR1_3" SITE "AD33"; #was "DQLR1_3_P" 185\r
+# LOCATE COMP "DQLR1_4" SITE "AF34"; #was "DQLR1_4_P" 189\r
+# \r
+# \r
+# LOCATE COMP "DQLL3_0" SITE "AE4"; #was "DQLL3_0_P" 2\r
+# LOCATE COMP "DQLL3_1" SITE "AB10"; #was "DQLL3_1_P" 6\r
+# LOCATE COMP "DQLL3_2" SITE "AE2"; #was "DQLL3_2_P" 10\r
+# LOCATE COMP "DQSLL3" SITE "AJ1"; #was "DQSLL3_T" 14\r
+# LOCATE COMP "DQLL3_3" SITE "AD4"; #was "DQLL3_3_P" 18\r
+# LOCATE COMP "DQLL3_4" SITE "AC9"; #was "DQLL3_4_P" 22\r
+# LOCATE COMP "DQLL1_0" SITE "Y2"; #was "DQLL1_0_P" 26\r
+# LOCATE COMP "DQLL1_1" SITE "W4"; #was "DQLL1_1_P" 30\r
+# LOCATE COMP "DQLL1_2" SITE "W2"; #was "DQLL1_2_P" 34\r
+# LOCATE COMP "DQSLL1" SITE "W6"; #was "DQSLL1_T" 38\r
+# LOCATE COMP "DQLL1_3" SITE "W8"; #was "DQLL1_3_P" 42\r
+# LOCATE COMP "DQLL1_4" SITE "Y8"; #was "DQLL1_4_P" 46\r
+# LOCATE COMP "DQUL2_0" SITE "F2"; #was "DQUL2_0_P" 50\r
+# LOCATE COMP "DQUL2_1" SITE "F3"; #was "DQUL2_1_P" 54\r
+# LOCATE COMP "DQUL2_2" SITE "G2"; #was "DQUL2_2_P" 58\r
+# LOCATE COMP "DQSUL2" SITE "G3"; #was "DQSUL2_T" 62\r
+# LOCATE COMP "DQUL2_3" SITE "H1"; #was "DQUL2_3_P" 66\r
+# LOCATE COMP "DQUL2_4" SITE "J3"; #was "DQUL2_4_P" 70\r
+# LOCATE COMP "DQUL0_0" SITE "L5"; #was "DQUL0_0_P" 74\r
+# LOCATE COMP "DQUL0_1" SITE "M4"; #was "DQUL0_1_P" 78\r
+# LOCATE COMP "DQUL0_2" SITE "K6"; #was "DQUL0_2_P" 82\r
+# LOCATE COMP "DQSUL0" SITE "N9"; #was "DQSUL0_T" 86\r
+# LOCATE COMP "DQUL0_3" SITE "M2"; #was "DQUL0_3_P" 90\r
+# LOCATE COMP "DQUL0_4" SITE "M7"; #was "DQUL0_4_P" 94\r
+# \r
+# LOCATE COMP "DQUR1_0" SITE "N30"; #was "DQUR1_0_P" 106\r
+# LOCATE COMP "DQUR1_1" SITE "N26"; #was "DQUR1_1_P" 110\r
+# LOCATE COMP "DQUR1_2" SITE "N32"; #was "DQUR1_2_P" 114\r
+# LOCATE COMP "DQSUR1" SITE "N27"; #was "DQSUR1_T" 118\r
+# LOCATE COMP "DQUR1_3" SITE "N34"; #was "DQUR1_3_P" 122\r
+# LOCATE COMP "DQUR1_4" SITE "P28"; #was "DQUR1_4_P" 126\r
+# LOCATE COMP "DQUR2_0" SITE "T32"; #was "DQUR2_0_P" 130\r
+# LOCATE COMP "DQUR2_1" SITE "T26"; #was "DQUR2_1_P" 134\r
+# LOCATE COMP "DQUR2_2" SITE "U32"; #was "DQUR2_2_P" 138\r
+# LOCATE COMP "DQSUR2" SITE "T30"; #was "DQSUR2_T" 142\r
+# LOCATE COMP "DQUR2_3" SITE "T34"; #was "DQUR2_3_P" 146\r
+# LOCATE COMP "DQUR2_4" SITE "U26"; #was "DQUR2_4_P" 150\r
+# \r
+# LOCATE COMP "DQLR2_0" SITE "W30"; #was "DQLR2_0_P" 170\r
+# LOCATE COMP "DQLR2_1" SITE "W27"; #was "DQLR2_1_P" 174\r
+# LOCATE COMP "DQLR2_2" SITE "W34"; #was "DQLR2_2_P" 178\r
+# LOCATE COMP "DQSLR2" SITE "Y30"; #was "DQSLR2_T" 182\r
+# LOCATE COMP "DQLR2_3" SITE "Y34"; #was "DQLR2_3_P" 186\r
+# LOCATE COMP "DQLR2_4" SITE "Y26"; #was "DQLR2_4_P" 190\r
+# \r
+# DEFINE PORT GROUP "DQ_group" "DQ*" ;\r
+# IOBUF GROUP "DQ_group" IO_TYPE=LVDS25 DIFFRESISTOR=100; \r
\r
\r
\r
#################################################################\r
# KEL Connector\r
#################################################################\r
-#LOCATE COMP "KEL1_N" SITE "AP6";\r
-#LOCATE COMP "KEL2_N" SITE "AP3";\r
-#LOCATE COMP "KEL3_N" SITE "AN2";\r
-#LOCATE COMP "KEL4_N" SITE "AM3";\r
-#LOCATE COMP "KEL5_N" SITE "AM5";\r
-#LOCATE COMP "KEL6_N" SITE "AN6";\r
-#LOCATE COMP "KEL7_N" SITE "AM4";\r
-#LOCATE COMP "KEL8_N" SITE "AJ6";\r
-#LOCATE COMP "KEL9_N" SITE "AJ3";\r
-#LOCATE COMP "KEL10_N" SITE "AK3";\r
-#LOCATE COMP "KEL11_N" SITE "AD8";\r
-#LOCATE COMP "KEL12_N" SITE "AK4";\r
-#LOCATE COMP "KEL13_N" SITE "V3";\r
-#LOCATE COMP "KEL14_N" SITE "W5";\r
-#LOCATE COMP "KEL15_N" SITE "T8";\r
-#LOCATE COMP "KEL16_N" SITE "T1";\r
-#LOCATE COMP "KEL17_N" SITE "P6";\r
-#LOCATE COMP "KEL18_N" SITE "T7";\r
-#LOCATE COMP "KEL19_N" SITE "R1";\r
-#LOCATE COMP "KEL20_N" SITE "P10";\r
-#LOCATE COMP "KEL21_N" SITE "AP30";\r
-#LOCATE COMP "KEL22_N" SITE "AP32";\r
-#LOCATE COMP "KEL23_N" SITE "AN33";\r
-#LOCATE COMP "KEL24_N" SITE "AN31";\r
-#LOCATE COMP "KEL25_N" SITE "AM32";\r
-#LOCATE COMP "KEL26_N" SITE "AN29";\r
-#LOCATE COMP "KEL27_N" SITE "AM31";\r
-#LOCATE COMP "KEL28_N" SITE "AM30";\r
-#LOCATE COMP "KEL29_N" SITE "AL33";\r
-#LOCATE COMP "KEL30_N" SITE "AK31";\r
-#LOCATE COMP "KEL31_N" SITE "AJ33";\r
-#LOCATE COMP "KEL32_N" SITE "AK32";\r
-#LOCATE COMP "KEL33_N" SITE "AF31";\r
-#LOCATE COMP "KEL34_N" SITE "AE31";\r
-#LOCATE COMP "KEL35_N" SITE "AE29";\r
-#LOCATE COMP "KEL36_N" SITE "AD25";\r
-#LOCATE COMP "KEL37_N" SITE "L30";\r
-#LOCATE COMP "KEL38_N" SITE "AB27";\r
-#LOCATE COMP "KEL39_N" SITE "M33";\r
-#LOCATE COMP "KEL40_N" SITE "M28";\r
-LOCATE COMP "KEL_1" SITE "AP5";\r
-LOCATE COMP "KEL_2" SITE "AP2";\r
-LOCATE COMP "KEL_3" SITE "AN1";\r
-LOCATE COMP "KEL_4" SITE "AN3";\r
-LOCATE COMP "KEL_5" SITE "AL5";\r
-LOCATE COMP "KEL_6" SITE "AM6";\r
-LOCATE COMP "KEL_7" SITE "AL4";\r
-LOCATE COMP "KEL_8" SITE "AJ5";\r
-LOCATE COMP "KEL_9" SITE "AJ2";\r
-LOCATE COMP "KEL_10" SITE "AL3";\r
-LOCATE COMP "KEL_11" SITE "AD9";\r
-LOCATE COMP "KEL_12" SITE "AJ4";\r
-LOCATE COMP "KEL_13" SITE "V4";\r
-LOCATE COMP "KEL_14" SITE "V5";\r
-LOCATE COMP "KEL_15" SITE "T9";\r
-LOCATE COMP "KEL_16" SITE "T2";\r
-LOCATE COMP "KEL_17" SITE "P7";\r
-LOCATE COMP "KEL_18" SITE "R8";\r
-LOCATE COMP "KEL_19" SITE "R2";\r
-LOCATE COMP "KEL_20" SITE "P9";\r
-LOCATE COMP "KEL_21" SITE "AP29";\r
-LOCATE COMP "KEL_22" SITE "AP33";\r
-LOCATE COMP "KEL_23" SITE "AN34";\r
-LOCATE COMP "KEL_24" SITE "AP31";\r
-LOCATE COMP "KEL_25" SITE "AN32";\r
-LOCATE COMP "KEL_26" SITE "AM29";\r
-LOCATE COMP "KEL_27" SITE "AL31";\r
-LOCATE COMP "KEL_28" SITE "AL30";\r
-LOCATE COMP "KEL_29" SITE "AL34";\r
-LOCATE COMP "KEL_30" SITE "AJ31";\r
-LOCATE COMP "KEL_31" SITE "AH33";\r
-LOCATE COMP "KEL_32" SITE "AL32";\r
-LOCATE COMP "KEL_33" SITE "AF32";\r
-LOCATE COMP "KEL_34" SITE "AE32";\r
-LOCATE COMP "KEL_35" SITE "AE30";\r
-LOCATE COMP "KEL_36" SITE "AD26";\r
-LOCATE COMP "KEL_37" SITE "M29";\r
-LOCATE COMP "KEL_38" SITE "AC28";\r
-LOCATE COMP "KEL_39" SITE "M34";\r
-LOCATE COMP "KEL_40" SITE "L28";\r
-DEFINE PORT GROUP "KEL_group" "KEL*" ;\r
-IOBUF GROUP "KEL_group" IO_TYPE=LVDS25 ;\r
+# # LOCATE COMP "KEL1_N" SITE "AP6";\r
+# # LOCATE COMP "KEL2_N" SITE "AP3";\r
+# # LOCATE COMP "KEL3_N" SITE "AN2";\r
+# # LOCATE COMP "KEL4_N" SITE "AM3";\r
+# # LOCATE COMP "KEL5_N" SITE "AM5";\r
+# # LOCATE COMP "KEL6_N" SITE "AN6";\r
+# # LOCATE COMP "KEL7_N" SITE "AM4";\r
+# # LOCATE COMP "KEL8_N" SITE "AJ6";\r
+# # LOCATE COMP "KEL9_N" SITE "AJ3";\r
+# # LOCATE COMP "KEL10_N" SITE "AK3";\r
+# # LOCATE COMP "KEL11_N" SITE "AD8";\r
+# # LOCATE COMP "KEL12_N" SITE "AK4";\r
+# # LOCATE COMP "KEL13_N" SITE "V3";\r
+# # LOCATE COMP "KEL14_N" SITE "W5";\r
+# # LOCATE COMP "KEL15_N" SITE "T8";\r
+# # LOCATE COMP "KEL16_N" SITE "T1";\r
+# # LOCATE COMP "KEL17_N" SITE "P6";\r
+# # LOCATE COMP "KEL18_N" SITE "T7";\r
+# # LOCATE COMP "KEL19_N" SITE "R1";\r
+# # LOCATE COMP "KEL20_N" SITE "P10";\r
+# # LOCATE COMP "KEL21_N" SITE "AP30";\r
+# # LOCATE COMP "KEL22_N" SITE "AP32";\r
+# # LOCATE COMP "KEL23_N" SITE "AN33";\r
+# # LOCATE COMP "KEL24_N" SITE "AN31";\r
+# # LOCATE COMP "KEL25_N" SITE "AM32";\r
+# # LOCATE COMP "KEL26_N" SITE "AN29";\r
+# # LOCATE COMP "KEL27_N" SITE "AM31";\r
+# # LOCATE COMP "KEL28_N" SITE "AM30";\r
+# # LOCATE COMP "KEL29_N" SITE "AL33";\r
+# # LOCATE COMP "KEL30_N" SITE "AK31";\r
+# # LOCATE COMP "KEL31_N" SITE "AJ33";\r
+# # LOCATE COMP "KEL32_N" SITE "AK32";\r
+# # LOCATE COMP "KEL33_N" SITE "AF31";\r
+# # LOCATE COMP "KEL34_N" SITE "AE31";\r
+# # LOCATE COMP "KEL35_N" SITE "AE29";\r
+# # LOCATE COMP "KEL36_N" SITE "AD25";\r
+# # LOCATE COMP "KEL37_N" SITE "L30";\r
+# # LOCATE COMP "KEL38_N" SITE "AB27";\r
+# # LOCATE COMP "KEL39_N" SITE "M33";\r
+# # LOCATE COMP "KEL40_N" SITE "M28";\r
+# LOCATE COMP "KEL_1" SITE "AP5";\r
+# LOCATE COMP "KEL_2" SITE "AP2";\r
+# LOCATE COMP "KEL_3" SITE "AN1";\r
+# LOCATE COMP "KEL_4" SITE "AN3";\r
+# LOCATE COMP "KEL_5" SITE "AL5";\r
+# LOCATE COMP "KEL_6" SITE "AM6";\r
+# LOCATE COMP "KEL_7" SITE "AL4";\r
+# LOCATE COMP "KEL_8" SITE "AJ5";\r
+# LOCATE COMP "KEL_9" SITE "AJ2";\r
+# LOCATE COMP "KEL_10" SITE "AL3";\r
+# LOCATE COMP "KEL_11" SITE "AD9";\r
+# LOCATE COMP "KEL_12" SITE "AJ4";\r
+# LOCATE COMP "KEL_13" SITE "V4";\r
+# LOCATE COMP "KEL_14" SITE "V5";\r
+# LOCATE COMP "KEL_15" SITE "T9";\r
+# LOCATE COMP "KEL_16" SITE "T2";\r
+# LOCATE COMP "KEL_17" SITE "P7";\r
+# LOCATE COMP "KEL_18" SITE "R8";\r
+# LOCATE COMP "KEL_19" SITE "R2";\r
+# LOCATE COMP "KEL_20" SITE "P9";\r
+# LOCATE COMP "KEL_21" SITE "AP29";\r
+# LOCATE COMP "KEL_22" SITE "AP33";\r
+# LOCATE COMP "KEL_23" SITE "AN34";\r
+# LOCATE COMP "KEL_24" SITE "AP31";\r
+# LOCATE COMP "KEL_25" SITE "AN32";\r
+# LOCATE COMP "KEL_26" SITE "AM29";\r
+# LOCATE COMP "KEL_27" SITE "AL31";\r
+# LOCATE COMP "KEL_28" SITE "AL30";\r
+# LOCATE COMP "KEL_29" SITE "AL34";\r
+# LOCATE COMP "KEL_30" SITE "AJ31";\r
+# LOCATE COMP "KEL_31" SITE "AH33";\r
+# LOCATE COMP "KEL_32" SITE "AL32";\r
+# LOCATE COMP "KEL_33" SITE "AF32";\r
+# LOCATE COMP "KEL_34" SITE "AE32";\r
+# LOCATE COMP "KEL_35" SITE "AE30";\r
+# LOCATE COMP "KEL_36" SITE "AD26";\r
+# LOCATE COMP "KEL_37" SITE "M29";\r
+# LOCATE COMP "KEL_38" SITE "AC28";\r
+# LOCATE COMP "KEL_39" SITE "M34";\r
+# LOCATE COMP "KEL_40" SITE "L28";\r
+# DEFINE PORT GROUP "KEL_group" "KEL*" ;\r
+# IOBUF GROUP "KEL_group" IO_TYPE=LVDS25 ;\r
\r
#################################################################\r
# Many LED\r
DEFINE PORT GROUP "LED_SFP_group" "LED_SFP*" ;\r
IOBUF GROUP "LED_SFP_group" IO_TYPE=LVTTL33 ;\r
\r
-LOCATE COMP "LED_WHITE_1" SITE "A32";\r
-LOCATE COMP "LED_WHITE_2" SITE "A33";\r
+LOCATE COMP "LED_WHITE_0" SITE "A32";\r
+LOCATE COMP "LED_WHITE_1" SITE "A33";\r
DEFINE PORT GROUP "LED_WHITE_group" "LED_WHITE*" ;\r
IOBUF GROUP "LED_WHITE_group" IO_TYPE=LVTTL33 ;\r
\r
LOCATE COMP "SFP_MOD1_1" SITE "J11";\r
LOCATE COMP "SFP_MOD2_0" SITE "A7";\r
LOCATE COMP "SFP_MOD2_1" SITE "D9";\r
-LOCATE COMP "SFP_RATE_SEL_0" SITE "A4";\r
-LOCATE COMP "SFP_RATE_SEL_1" SITE "C8";\r
+# LOCATE COMP "SFP_RATE_SEL_0" SITE "A4";\r
+# LOCATE COMP "SFP_RATE_SEL_1" SITE "C8";\r
LOCATE COMP "SFP_TX_DIS_0" SITE "D6";\r
LOCATE COMP "SFP_TX_DIS_1" SITE "A9";\r
-LOCATE COMP "SFP_TX_FAULT_0" SITE "C5";\r
-LOCATE COMP "SFP_TX_FAULT_1" SITE "B8";\r
+# LOCATE COMP "SFP_TX_FAULT_0" SITE "C5";\r
+# LOCATE COMP "SFP_TX_FAULT_1" SITE "B8";\r
DEFINE PORT GROUP "SFP_group" "SFP*" ;\r
IOBUF GROUP "SFP_group" IO_TYPE=LVTTL33 ;\r
\r
use work.trb_net_gbe_components.all;
use work.med_sync_define.all;
-
-
-
entity trb3sc_basic is
port(
- CLK_SUPPL_PCLK : in std_logic;
- CLK_SUPPL_PLL_LEFT : in std_logic;
- CLK_SUPPL_PLL_RIGHT : in std_logic;
- CLK_CORE_PCLK : in std_logic;
- CLK_CORE_PLL_LEFT : in std_logic;
- CLK_CORE_PLL_RIGHT : in std_logic;
- CLK_EXT_PCLK : in std_logic;
- CLK_EXT_PLL_LEFT : in std_logic;
- CLK_EXT_PLL_RIGHT : in std_logic;
+ CLK_SUPPL_PCLK : in std_logic; --125 MHz for GbE
+ CLK_CORE_PCLK : in std_logic; --Main Oscillator
+ CLK_EXT_PLL_LEFT : in std_logic; --External Clock
+ --CLK_SUPPL_PLL_LEFT : in std_logic; --not used
+ --CLK_SUPPL_PLL_RIGHT : in std_logic; --not used
+ --CLK_CORE_PLL_LEFT : in std_logic; --not used
+ --CLK_CORE_PLL_RIGHT : in std_logic; --not used
+ --CLK_EXT_PCLK : in std_logic; --not used
+ --CLK_EXT_PLL_RIGHT : in std_logic; --not used
- TRIG_PLL : in std_logic;
- TRIG_LEFT : in std_logic;
- TRIG_RIGHT : in std_logic;
+ TRIG_LEFT : in std_logic; --Trigger Input
+ --TRIG_PLL : in std_logic; --not used
+ --TRIG_RIGHT : in std_logic; --not used
- --Backplane
+ --Backplane, all lines
BACK_GPIO : inout std_logic_vector(15 downto 0);
BACK_LVDS : inout std_logic_vector( 1 downto 0);
BACK_3V3 : inout std_logic_vector( 3 downto 0);
+ --Backplane for slaves on trbv3scbp1
+-- BACK_GPIO : inout std_logic_vector(3 downto 0);
--AddOn Connector
--to be added
--KEL Connector
- KEL : inout std_logic_vector(40 downto 1);
+-- KEL : inout std_logic_vector(40 downto 1);
--Additional IO
HDR_IO : inout std_logic_vector( 9 downto 0);
--LED
LED_GREEN : out std_logic;
+ LED_YELLOW : out std_logic;
LED_ORANGE : out std_logic;
LED_RED : out std_logic;
LED_RJ_GREEN : out std_logic_vector( 1 downto 0);
LED_RJ_RED : out std_logic_vector( 1 downto 0);
- LED_YELLOW : out std_logic;
LED_WHITE : out std_logic_vector( 1 downto 0);
LED_SFP_GREEN : out std_logic_vector( 1 downto 0);
LED_SFP_RED : out std_logic_vector( 1 downto 0);
--SFP
SFP_LOS : in std_logic_vector( 1 downto 0);
SFP_MOD0 : in std_logic_vector( 1 downto 0);
- SFP_MOD1 : inout std_logic_vector( 1 downto 0);
- SFP_MOD2 : inout std_logic_vector( 1 downto 0);
- SFP_TX_DIS : out std_logic_vector( 1 downto 0);
+ SFP_MOD1 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
+ SFP_MOD2 : inout std_logic_vector( 1 downto 0) := (others => 'Z');
+ SFP_TX_DIS : out std_logic_vector( 1 downto 0) := (others => '0');
- SERDES_TX : out std_logic_vector(27 downto 0);
- SERDES_RX : in std_logic_vector(27 downto 0);
+ SERDES_TX : out std_logic_vector(1 downto 0);
+ SERDES_RX : in std_logic_vector(1 downto 0);
--Serdes switch
PCSSW_ENSMB : out std_logic;
attribute syn_useioff : boolean;
- --no IO-FF for LEDs relaxes timing constraints
- attribute syn_useioff of LED_GREEN : signal is false;
- attribute syn_useioff of LED_ORANGE : signal is false;
- attribute syn_useioff of LED_RED : signal is false;
- attribute syn_useioff of LED_RJ_GREEN : signal is false;
- attribute syn_useioff of LED_RJ_RED : signal is false;
- attribute syn_useioff of LED_YELLOW : signal is false;
- attribute syn_useioff of LED_WHITE : signal is false;
- attribute syn_useioff of LED_SFP_GREEN : signal is false;
- attribute syn_useioff of LED_SFP_RED : signal is false;
-
- attribute syn_useioff of TEMPSENS : signal is false;
- attribute syn_useioff of PROGRAMN : signal is false;
- attribute syn_useioff of TRIG_LEFT : signal is false;
- attribute syn_useioff of TRIG_RIGHT : signal is false;
-
- attribute syn_useioff of SFP_LOS : signal is false;
- attribute syn_useioff of SFP_MOD0 : signal is false;
- attribute syn_useioff of SFP_MOD1 : signal is false;
- attribute syn_useioff of SFP_MOD2 : signal is false;
- attribute syn_useioff of SFP_TX_DIS : signal is false;
-
- --important signals _with_ IO-FF
attribute syn_useioff of FLASH_CLK : signal is true;
attribute syn_useioff of FLASH_CS : signal is true;
attribute syn_useioff of FLASH_IN : signal is true;
attribute syn_preserve : boolean;
signal clk_sys, clk_full, clk_full_osc : std_logic;
- signal clk_half_rx, clk_full_rx : std_logic;
-
signal GSR_N : std_logic;
signal reset_i : std_logic;
signal clear_i : std_logic;
signal debug_clock_reset : std_logic_vector(31 downto 0);
--Media Interface
- signal med_stat_op : std_logic_vector (1*16-1 downto 0);
- signal med_ctrl_op : std_logic_vector (1*16-1 downto 0);
+ signal med2int : med2int_array_t(0 to 0);
+ signal int2med : int2med_array_t(0 to 0);
signal med_stat_debug : std_logic_vector (1*64-1 downto 0);
- signal med_ctrl_debug : std_logic_vector (1*64-1 downto 0);
- signal med_data_out : std_logic_vector (1*16-1 downto 0);
- signal med_packet_num_out : std_logic_vector (1*3-1 downto 0);
- signal med_dataready_out : std_logic_vector (1*1-1 downto 0);
- signal med_read_out : std_logic_vector (1*1-1 downto 0);
- signal med_data_in : std_logic_vector (1*16-1 downto 0);
- signal med_packet_num_in : std_logic_vector (1*3-1 downto 0);
- signal med_dataready_in : std_logic_vector (1*1-1 downto 0);
- signal med_read_in : std_logic_vector (1*1-1 downto 0);
--READOUT
signal readout_rx : READOUT_RX;
signal common_stat_reg : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
signal common_ctrl_reg : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
- signal common_stat_reg_strobe : std_logic_vector(std_COMSTATREG-1 downto 0);
- signal common_ctrl_reg_strobe : std_logic_vector(std_COMCTRLREG-1 downto 0);
signal sed_error_i : std_logic;
signal clock_select : std_logic;
+ signal timer : TIMERS;
attribute syn_keep of GSR_N : signal is true;
attribute syn_preserve of GSR_N : signal is true;
port map(
INT_CLK_IN => CLK_CORE_PCLK,
EXT_CLK_IN => CLK_EXT_PLL_LEFT,
- NET_CLK_FULL_IN => clk_full_rx,
- NET_CLK_HALF_IN => clk_half_rx,
- RESET_FROM_NET => med_stat_op(13),
+ NET_CLK_FULL_IN => med2int(0).clk_full,
+ NET_CLK_HALF_IN => med2int(0).clk_half,
+ RESET_FROM_NET => med2int(0).stat_op(13),
BUS_RX => bustc_rx,
BUS_TX => bustc_tx,
SYS_CLK_OUT => clk_sys,
REF_CLK_OUT => clk_full_osc,
+ ENPIRION_CLOCK => ENPIRION_CLOCK,
LED_RED_OUT => LED_RJ_RED,
LED_GREEN_OUT => LED_RJ_GREEN,
DEBUG_OUT => debug_clock_reset
-- TrbNet Uplink
---------------------------------------------------------------------------
-THE_MEDIA_INTERFACE : med_ecp3_sfp_sync
+THE_MEDIA_INTERFACE : entity work.med_ecp3_sfp_sync
generic map(
SERDES_NUM => 3,
- IS_SYNC_SLAVE => c_YES
+ IS_SYNC_SLAVE => c_NO
)
port map(
CLK => clk_full_osc,
RESET => reset_i,
CLEAR => clear_i,
--Internal Connection
- MED_DATA_IN => med_data_out(15 downto 0),
- MED_PACKET_NUM_IN => med_packet_num_out(2 downto 0),
- MED_DATAREADY_IN => med_dataready_out(0),
- MED_READ_OUT => med_read_in(0),
- MED_DATA_OUT => med_data_in(15 downto 0),
- MED_PACKET_NUM_OUT => med_packet_num_in(2 downto 0),
- MED_DATAREADY_OUT => med_dataready_in(0),
- MED_READ_IN => med_read_out(0),
- CLK_RX_HALF_OUT => clk_half_rx,
- CLK_RX_FULL_OUT => clk_full_rx,
-
+ MEDIA_MED2INT => med2int(0),
+ MEDIA_INT2MED => int2med(0),
+
--Sync operation
RX_DLM => open,
RX_DLM_WORD => open,
SD_LOS_IN => SFP_LOS(1),
SD_TXDIS_OUT => SFP_TX_DIS(1),
--Control Interface
- SCI_DATA_IN => bussci_RX.data(7 downto 0),
- SCI_DATA_OUT => bussci_TX.data(7 downto 0),
- SCI_ADDR => bussci_RX.addr(8 downto 0),
- SCI_READ => bussci_RX.read,
- SCI_WRITE => bussci_RX.write,
- SCI_ACK => bussci_TX.ack,
- SCI_NACK => bussci_TX.unknown,
+ BUS_RX => bussci_rx,
+ BUS_TX => bussci_tx,
-- Status and control port
- STAT_OP => med_stat_op(15 downto 0),
- CTRL_OP => med_ctrl_op(15 downto 0),
STAT_DEBUG => med_stat_debug(63 downto 0),
CTRL_DEBUG => open
- );
+ );
+SFP_TX_DIS(0) <= '1';
---------------------------------------------------------------------------
-- Endpoint
CLK_EN => '1',
-- Media direction port
- MED_DATAREADY_OUT => med_dataready_out(0),
- MED_DATA_OUT => med_data_out(15 downto 0),
- MED_PACKET_NUM_OUT => med_packet_num_out(2 downto 0),
- MED_READ_IN => med_read_in(0),
- MED_DATAREADY_IN => med_dataready_in(0),
- MED_DATA_IN => med_data_in(15 downto 0),
- MED_PACKET_NUM_IN => med_packet_num_in(2 downto 0),
- MED_READ_OUT => med_read_out(0),
- MED_STAT_OP_IN => med_stat_op(15 downto 0),
- MED_CTRL_OP_OUT => med_ctrl_op(15 downto 0),
+ MEDIA_MED2INT => med2int(0),
+ MEDIA_INT2MED => int2med(0),
--Timing trigger in
TRG_TIMING_TRG_RECEIVED_IN => TRIG_LEFT,
--Slow Control Port
REGIO_COMMON_STAT_REG_IN => common_stat_reg, --0x00
REGIO_COMMON_CTRL_REG_OUT => common_ctrl_reg, --0x20
- REGIO_COMMON_STAT_STROBE_OUT => common_stat_reg_strobe,
- REGIO_COMMON_CTRL_STROBE_OUT => common_ctrl_reg_strobe,
BUS_RX => ctrlbus_rx,
BUS_TX => ctrlbus_tx,
ONEWIRE_INOUT => TEMPSENS,
--Timing registers
- TIME_GLOBAL_OUT => open, --global time, microseconds
- TIME_LOCAL_OUT => open, --local time running with chip frequency
- TIME_SINCE_LAST_TRG_OUT => open, --local time, resetted with each trigger
- TIME_TICKS_OUT => open --bit 1 ms-tick, 0 us-tick
-
+ TIMERS_OUT => timer
);
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Switches
---------------------------------------------------------------------------
-
--Serdes Select
PCSSW_ENSMB <= '0';
PCSSW_EQ <= x"0";
PCSSW_PE <= x"F";
PCSSW <= "01001110"; --SFP2 on B3, AddOn on D1
-
---------------------------------------------------------------------------
-- I/O
---------------------------------------------------------------------------
HDR_IO <= (others => '0');
RJ_IO <= "0000";
-
BACK_GPIO <= (others => 'Z');
BACK_LVDS <= (others => '0');
---------------------------------------------------------------------------
-- LED
---------------------------------------------------------------------------
+ --LED are green, orange, red, yellow, white(2), rj_green(2), rj_red(2), sfp_green(2), sfp_red(2)
LED_GREEN <= debug_clock_reset(0);
LED_ORANGE <= debug_clock_reset(1);
- LED_RED <= debug_clock_reset(2); --not sed_error_i;
- LED_YELLOW <= debug_clock_reset(3);
-
--- LED_RJ_GREEN <= '0' & not std_logic_vector(to_unsigned(USE_RXCLOCK,1)); --1 must be 0,
--- LED_RJ_RED <= not clock_select & std_logic_vector(to_unsigned(USE_RXCLOCK,1));
+ LED_RED <= not sed_error_i;
+ LED_YELLOW <= debug_clock_reset(2);
LED_WHITE <= time_counter(26) & time_counter(28);
- LED_SFP_GREEN <= not med_stat_op(9) & '1'; --SFP Link Status
- LED_SFP_RED <= not (med_stat_op(10) or med_stat_op(11)) & '1'; --SFP RX/TX
+ LED_SFP_GREEN <= not med2int(0).stat_op(9) & '1'; --SFP Link Status
+ LED_SFP_RED <= not (med2int(0).stat_op(10) or med2int(0).stat_op(11)) & '1'; --SFP RX/TX
+-- DEBUG_OUT(0) <= pll_int_lock;
+-- DEBUG_OUT(1) <= pll_ext_lock;
+-- DEBUG_OUT(2) <= clock_select;
+
---------------------------------------------------------------------------
-- Test Circuits
---------------------------------------------------------------------------
- process
- begin
- wait until rising_edge(clk_sys);
- time_counter <= time_counter + 1;
- end process;
-
-
+ process begin
+ wait until rising_edge(clk_sys);
+ time_counter <= time_counter + 1;
+ if reset_i = '1' then
+ time_counter <= (others => '0');
+ end if;
+ end process;
+
+-- TEST_LINE <= med_stat_debug(15 downto 0);
+
end architecture;
-