--- /dev/null
+-- VHDL netlist generated by SCUBA ispLever_v72_SP2_Build (23)
+-- Module Version: 4.0
+--/opt/lattice/ispLEVER7.2/isptools/ispfpga/bin/lin/scuba -w -n ddr_off -lang vhdl -synth synplify -bus_exp 7 -bb -arch ep5m00 -type iol -mode out -width 1 -gear 1 -e
+
+-- Mon Jul 6 17:16:30 2009
+
+library IEEE;
+use IEEE.std_logic_1164.all;
+-- synopsys translate_off
+library ecp2m;
+use ecp2m.components.all;
+-- synopsys translate_on
+
+entity ddr_off is
+ port (
+ Clk: in std_logic;
+ Data: in std_logic_vector(1 downto 0);
+ Q: out std_logic_vector(0 downto 0));
+ attribute dont_touch : string;
+ attribute dont_touch of ddr_off : entity is "true";
+end ddr_off;
+
+architecture Structure of ddr_off is
+
+ -- internal signal declarations
+ signal scuba_vlo: std_logic;
+ signal buf_Q0: std_logic;
+
+ -- local component declarations
+ component VLO
+ port (Z: out std_logic);
+ end component;
+ component OB
+ port (I: in std_logic; O: out std_logic);
+ end component;
+ component ODDRXC
+ port (DA: in std_logic; DB: in std_logic; CLK: in std_logic;
+ RST: in std_logic; Q: out std_logic);
+ end component;
+ attribute syn_noprune : boolean;
+ attribute syn_noprune of Structure : architecture is true;
+
+begin
+ -- component instantiation statements
+ scuba_vlo_inst: VLO
+ port map (Z=>scuba_vlo);
+
+ ud_0: ODDRXC
+ port map (DA=>Data(0), DB=>Data(1), CLK=>Clk, RST=>scuba_vlo,
+ Q=>buf_Q0);
+
+ buf_Q0_out_inst: OB
+ port map (I=>buf_Q0, O=>Q(0));
+
+end Structure;
+
+-- synopsys translate_off
+library ecp2m;
+configuration Structure_CON of ddr_off is
+ for Structure
+ for all:VLO use entity ecp2m.VLO(V); end for;
+ for all:OB use entity ecp2m.OB(V); end for;
+ for all:ODDRXC use entity ecp2m.ODDRXC(V); end for;
+ end for;
+end Structure_CON;
+
+-- synopsys translate_on