]> jspc29.x-matter.uni-frankfurt.de Git - trbv2.git/commitdiff
simulation - no api
authorpalka <palka>
Mon, 4 Aug 2008 13:12:21 +0000 (13:12 +0000)
committerpalka <palka>
Mon, 4 Aug 2008 13:12:21 +0000 (13:12 +0000)
etrax_interfacev2.vhd [new file with mode: 0644]
fifo16kW.ngc [new file with mode: 0644]
fifo16kW.vhd [new file with mode: 0644]
tdc_interface.vhd
trb_v2b_fpga.vhd

diff --git a/etrax_interfacev2.vhd b/etrax_interfacev2.vhd
new file mode 100644 (file)
index 0000000..711a10b
--- /dev/null
@@ -0,0 +1,424 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.STD_LOGIC_ARITH.ALL;
+use IEEE.STD_LOGIC_UNSIGNED.ALL;
+use IEEE.NUMERIC_STD.all;
+library UNISIM;
+use UNISIM.VCOMPONENTS.all;
+
+entity etrax_interfacev2 is
+  port (
+    CLK                     : in    std_logic;
+    RESET                   : in    std_logic;
+    DATA_BUS                : in    std_logic_vector(31 downto 0);
+    ETRAX_DATA_BUS_B        : inout std_logic_vector(17 downto 0);
+    ETRAX_DATA_BUS_C        : inout    std_logic_vector(17 downto 0);
+    DATA_VALID              : in    std_logic;
+    ETRAX_BUS_BUSY          : out   std_logic;
+    ETRAX_IS_READY_TO_READ  : out    std_logic;
+    TDC_TCK                 : out   std_logic;
+    TDC_TDI                 : out   std_logic;
+    TDC_TMS                 : out   std_logic;
+    TDC_TRST                : out   std_logic;
+    TDC_TDO                 : in    std_logic;
+    TDC_RESET               : out   std_logic;
+    EXTERNAL_ADDRESS        : out   std_logic_vector(31 downto 0);
+    EXTERNAL_DATA_OUT       : out std_logic_vector(31 downto 0);
+    EXTERNAL_DATA_IN        : in std_logic_vector(31 downto 0);
+    EXTERNAL_ACK            : out   std_logic;
+    EXTERNAL_VALID          : in    std_logic;
+    EXTERNAL_MODE           : out   std_logic_vector(15 downto 0);
+    FPGA_REGISTER_00        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_01        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_02        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_03        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_04        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_05        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_06        : out   std_logic_vector(31 downto 0);
+    FPGA_REGISTER_07        : out   std_logic_vector(31 downto 0);
+    FPGA_REGISTER_08        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_09        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0A        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0B        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0C        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0D        : in    std_logic_vector(31 downto 0);
+    FPGA_REGISTER_0E        : out    std_logic_vector(31 downto 0);
+    EXTERNAL_RESET          : out   std_logic;
+    LVL2_VALID              : in    std_logic
+  --  DEBUG_REGISTER_OO       : out   std_logic_vector(31 downto 0)
+    );
+end etrax_interfacev2;
+architecture etrax_interfacev2 of etrax_interfacev2 is
+  component edge_to_pulse
+    port (
+      clock     : in  std_logic;
+      en_clk    : in  std_logic;
+      signal_in : in  std_logic;
+      pulse     : out std_logic);
+  end component;
+  component up_counter_17bit
+      port (
+        QOUT : out std_logic_vector(16 downto 0);
+        UP   : in  std_logic;
+        CLK  : in  std_logic;
+        CLR  : in  std_logic);
+    end component;
+  type ETRAX_RW_STATE_MACHINE is (IDLE, SAVE_ADDRESS_1, SAVE_ADDRESS_2, SAVE_DATA_1 ,SAVE_DATA_2 , SEND_DATA_1, SEND_ZERO, SEND_DATA_2, WAIT_FOR_DATA, SAVING_EXTERNAL_DATA, SEND_VALID, SEND_EXTERNAL_TRIGGER );
+  signal ETRAX_RW_STATE_currentstate,ETRAX_RW_STATE_nextstate  : ETRAX_RW_STATE_MACHINE;
+  
+  signal etrax_trigger_pulse : std_logic;
+  signal rw_operation_finished_pulse : std_logic;
+  signal saved_rw_mode : std_logic_vector(15 downto 0);
+  signal saved_address : std_logic_vector (31 downto 0);
+  signal saved_data : std_logic_vector(31 downto 0);
+  signal saved_data_fpga : std_logic_vector(31 downto 0);
+  
+  signal fpga_register_00_i : std_logic_vector(31 downto 0);
+  signal fpga_register_01_i : std_logic_vector(31 downto 0);
+  signal fpga_register_02_i : std_logic_vector(31 downto 0);
+  signal fpga_register_03_i : std_logic_vector(31 downto 0);
+  signal fpga_register_04_i : std_logic_vector(31 downto 0);
+  signal fpga_register_05_i : std_logic_vector(31 downto 0);
+  signal fpga_register_06_i : std_logic_vector(31 downto 0);
+  signal fpga_register_07_i : std_logic_vector(31 downto 0);
+  signal fpga_register_08_i : std_logic_vector(31 downto 0);
+  signal fpga_register_09_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0A_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0B_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0C_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0D_i : std_logic_vector(31 downto 0);
+  signal fpga_register_0E_i : std_logic_vector(31 downto 0);
+  signal saved_external_data : std_logic_vector(31 downto 0);
+  signal etrax_is_ready_to_read_i : std_logic;
+  signal lvl2_not_valid_pulse : std_logic;
+  signal counter_for_pulses : std_logic_vector(2 downto 0);
+  signal internal_reset_i : std_logic := '0';
+  signal start_transmition_i : std_logic:='0';
+  signal start_transmition_pulse : std_logic;
+  signal data_from_etrax : std_logic_vector(80 downto 0);
+  signal etrax_std_data_counter : std_logic_vector(7 downto 0):=x"00";
+  signal enable_transmition : std_logic :='1';
+  signal etrax_strobe : std_logic;
+  signal data_to_etrax : std_logic_vector(31 downto 0);
+  signal reset_counter : std_logic_vector(15 downto 0) := x"0000";
+  signal external_reset_counter : std_logic_vector(31 downto 0);
+  signal en_trigg_to_etrax  : std_logic;
+  signal busy_dma_counter : std_logic_vector(3 downto 0);
+  signal busy_dma : std_logic;
+  signal etrax_busy_end_pulse : std_logic;
+  signal not_etrax_busy : std_logic;
+begin
+-------------------------------------------------------------------------------
+-- transmition for reading, writing fpga registers, dsp, sdram , addon . . . 
+-------------------------------------------------------------------------------
+  
+  ETRAX_DATA_BUS_C(17) <= 'Z';
+--  ETRAX_DATA_BUS_B(17) <= 'Z'; ----------------------------------------------
+-- !!!!!!!!!!!!!!!!!!!!!! pull down
+-------------------------------------------------------------------------------
+  start_transmition_i <= ETRAX_DATA_BUS_C(17) and ETRAX_DATA_BUS_B(17);
+  START_PULSER : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => enable_transmition,
+      signal_in => start_transmition_i,
+      pulse     => start_transmition_pulse);
+  STROBE_PULSER : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => ETRAX_DATA_BUS_C(17),
+      pulse     => etrax_strobe);
+  SAVE_ETRAX_DATA: process (CLK, internal_reset_i)
+    variable etrax_data_counter : integer:=0;
+  begin
+    if rising_edge(CLK)then
+      if internal_reset_i = '1' or (etrax_std_data_counter = 81 and saved_rw_mode(15) = '0') or (etrax_std_data_counter = 114  and saved_rw_mode(15) = '1') then
+        etrax_data_counter := 0;
+        data_from_etrax <= (others => '0');
+        ETRAX_DATA_BUS_C(16) <= 'Z';
+        enable_transmition <= '1';
+        etrax_std_data_counter <= x"00";
+      elsif etrax_strobe = '1' and etrax_std_data_counter < 81 then-- and etrax_data_counter < 81 and etrax_data_counter > 0  then
+        data_from_etrax(etrax_data_counter) <= ETRAX_DATA_BUS_C(16);
+        etrax_data_counter := etrax_data_counter + 1;
+        ETRAX_DATA_BUS_C(16) <= 'Z';
+        enable_transmition <= '0';
+        etrax_std_data_counter <=  etrax_std_data_counter + 1;
+      elsif etrax_std_data_counter = 81 and saved_rw_mode(15) = '1'   then
+        data_from_etrax <= data_from_etrax;
+        ETRAX_DATA_BUS_C(16) <= data_to_etrax(0);
+        etrax_data_counter := etrax_data_counter + 1;
+        etrax_std_data_counter <=  etrax_std_data_counter + 1;
+        enable_transmition <= '0';
+      elsif etrax_strobe = '1' and etrax_std_data_counter > 81 and saved_rw_mode(15) = '1'   then
+        data_from_etrax <= data_from_etrax;
+        ETRAX_DATA_BUS_C(16) <= data_to_etrax((etrax_data_counter-81) mod 32);
+        etrax_data_counter := etrax_data_counter + 1;
+        etrax_std_data_counter <=  etrax_std_data_counter + 1;
+        enable_transmition <= '0';
+      end if;
+    end if;
+  end process SAVE_ETRAX_DATA;
+ -- fpga_register_0E(7 downto 0) <= etrax_std_data_counter;
+  data_to_etrax <= saved_data_fpga;-- when saved_rw_mode(7 downto 0) = x"00" else saved_external_data;
+  RW_FINISHED_PULSER       : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => EXTERNAL_VALID,
+      pulse     => rw_operation_finished_pulse);
+  REGISTER_ETRAX_BUS: process (CLK, internal_reset_i, ETRAX_RW_STATE_currentstate)
+  begin 
+    if rising_edge(CLK) then 
+      if rw_operation_finished_pulse = '1' then
+        saved_external_data <= EXTERNAL_DATA_IN;
+      else
+        saved_external_data <= saved_external_data;
+      end if;
+    end if;
+  end process REGISTER_ETRAX_BUS;
+  EXTERNAL_ADDRESS <= saved_address;
+  EXTERNAL_MODE    <= saved_rw_mode(15 downto 0);
+  EXTERNAL_DATA_OUT <= saved_data;
+  EXTERNAL_ACK <= '1' when etrax_std_data_counter = 80 else '0';
+  CLOCK_SAVED_DATA: process (CLK, internal_reset_i)
+  begin  
+    if rising_edge(CLK) then 
+      if internal_reset_i = '1' then
+        saved_rw_mode <= (others => '0');
+        saved_address <= (others => '0');
+        saved_data <= (others => '0');
+      else
+        saved_rw_mode <= data_from_etrax(15 downto 0);
+        saved_address <= data_from_etrax(47 downto 16);
+        saved_data <= data_from_etrax(79 downto 48);
+      end if;
+    end if;
+  end process CLOCK_SAVED_DATA;
+  REGISTERS: process (CLK)
+  begin  
+    if rising_edge(CLK) then  
+--     if RESET = '1' or (ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_C(17)='1') then
+         fpga_register_01_i <= FPGA_REGISTER_01;
+         fpga_register_02_i <= FPGA_REGISTER_02;
+         fpga_register_03_i <= FPGA_REGISTER_03;
+         fpga_register_04_i <= FPGA_REGISTER_04;
+         fpga_register_05_i <= FPGA_REGISTER_05;
+         FPGA_REGISTER_06   <= fpga_register_06_i;  --this used for TDCjtag enable(0)
+         FPGA_REGISTER_07   <= fpga_register_07_i;
+         fpga_register_08_i <= FPGA_REGISTER_08;
+         fpga_register_09_i <= FPGA_REGISTER_09;
+         fpga_register_0A_i <= FPGA_REGISTER_0A;
+         fpga_register_0B_i <= FPGA_REGISTER_0B;
+         fpga_register_0c_i <= FPGA_REGISTER_0C;
+         fpga_register_0d_i <= FPGA_REGISTER_0D;
+         FPGA_REGISTER_0E   <= fpga_register_0e_i;
+     end if;
+   end process REGISTERS;
+  DATA_SOURCE_SELECT : process (CLK,internal_reset_i,saved_rw_mode,saved_address)
+  begin
+    if rising_edge(CLK) then
+      if internal_reset_i = '1' then--(ETRAX_DATA_BUS_C(16) = '1' and ETRAX_DATA_BUS_C(17) = '1') then
+         fpga_register_06_i                          <= x"00000000";
+         fpga_register_07_i                          <= x"00000000";
+         fpga_register_0e_i                          <= x"00000000";
+      else
+        case saved_rw_mode(7 downto 0) is
+          when "00000000"        =>
+            if saved_rw_mode(15) = '1' then
+              case saved_address(31 downto 0) is
+                when x"00000000" => saved_data_fpga <= fpga_register_00_i;
+                when x"00000001" => saved_data_fpga <= fpga_register_01_i;
+                when x"00000002" => saved_data_fpga <= fpga_register_02_i;
+                when x"00000003" => saved_data_fpga <= fpga_register_03_i;
+                when x"00000004" => saved_data_fpga <= fpga_register_04_i;
+                when x"00000005" => saved_data_fpga <= fpga_register_05_i;
+                when x"00000006" => saved_data_fpga <= fpga_register_06_i;
+                when x"00000007" => saved_data_fpga <= fpga_register_07_i;
+                when x"00000008" => saved_data_fpga <= fpga_register_08_i;
+                when x"00000009" => saved_data_fpga <= fpga_register_09_i;
+                when x"0000000A" => saved_data_fpga <= fpga_register_0A_i;
+                when x"0000000B" => saved_data_fpga <= fpga_register_0B_i;
+                when x"0000000C" => saved_data_fpga <= fpga_register_0C_i;
+                when x"0000000D" => saved_data_fpga <= fpga_register_0D_i;
+                when x"0000000E" => saved_data_fpga <= fpga_register_0E_i;
+                when others      => saved_data_fpga <= x"deadface";
+              end case;
+            elsif saved_rw_mode(15) = '0' and etrax_std_data_counter = 80 then
+              case saved_address(31 downto 0) is
+                when x"00000006" => fpga_register_06_i <= saved_data;
+                when x"00000007" => fpga_register_07_i <= saved_data;
+                when x"0000000e" => fpga_register_0e_i <= saved_data;                                    
+                when others      => null;
+              end case;
+            end if;
+          when "00000001"        =>     --DSP write read
+            saved_data_fpga                            <= saved_external_data;
+          when x"02"        =>          --sdram
+            saved_data_fpga                            <= saved_external_data;
+          when x"03"        =>          --ADDON board write read
+            saved_data_fpga                            <= saved_external_data;
+          when others            =>     
+            saved_data_fpga                            <= x"deadface";
+        end case;
+      end if;
+    end if;
+  end process DATA_SOURCE_SELECT;
+-------------------------------------------------------------------------------
+-- reset
+-------------------------------------------------------------------------------
+   MAKE_EXTERNAL_RESET: process (CLK)
+   begin
+     if rising_edge(CLK) then
+       if ETRAX_DATA_BUS_C(17) ='0' or ETRAX_DATA_BUS_C(16)='0' or ETRAX_DATA_BUS_B(17)= '0' then
+         external_reset_counter <= (others => '0');
+       elsif ETRAX_DATA_BUS_C(17) ='1' and ETRAX_DATA_BUS_C(16)='1' and ETRAX_DATA_BUS_B(17)= '1' then
+         external_reset_counter <= external_reset_counter + 1;
+       end if;
+     end if;
+   end process MAKE_EXTERNAL_RESET;
+  
+  MAKE_START_RESET: process (CLK)
+  begin
+    if rising_edge(CLK) then
+--      if then
+--        reset_counter <= x"0000";
+      --els
+      if reset_counter < x"000f" then
+        reset_counter <= reset_counter + 1;
+      end if;
+    end if;
+  end process MAKE_START_RESET;
+  RESET_PULSER : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => fpga_register_0e_i(31),
+      pulse     => open);
+  internal_reset_i <=  '1' when  reset_counter < x"000e" else '0';
+  EXTERNAL_RESET <= internal_reset_i;
+-------------------------------------------------------------------------------
+-- data transmitio fpga -> etrax
+-------------------------------------------------------------------------------
+  ETRAX_DATA_BUS_B(17) <= 'Z';
+    ETRAX_READY_PULSE      : edge_to_pulse
+       port map (
+         clock     => CLK,
+         en_clk    => DATA_VALID,--'1',
+         signal_in => ETRAX_DATA_BUS_B(17),
+         pulse     => etrax_is_ready_to_read_i);
+  MAKE_PULSES: process (CLK, internal_reset_i)
+  begin  
+    if rising_edge(CLK) then 
+      if internal_reset_i = '1' then 
+        counter_for_pulses <= "000";
+      else
+        counter_for_pulses <= counter_for_pulses + 1; 
+      end if;
+    end if;
+  end process make_pulses;
+  LVL2_NOT_VALID_READY_PULSE      : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => counter_for_pulses(2),
+      pulse     => lvl2_not_valid_pulse);
+--  internal_reset_i <= etrax_is_ready_to_read_i;
+  
+
+--  etrax_is_ready_to_read_i <= DATA_VALID and (not ETRAX_DATA_BUS_B(17));
+--  etrax_is_ready_to_read_i <= DATA_VALID and (not (busy_dma));
+--  ETRAX_IS_READY_TO_READ <= etrax_is_ready_to_read_i;
+  ETRAX_DATA_BUS_C(15 downto 4) <= DATA_BUS(31 downto 20);
+  ETRAX_DATA_BUS_B(15 downto 0) <= DATA_BUS(15 downto 0);
+--   ETRAX_DATA_BUS_C(7 downto 4) <= DATA_BUS(7 downto 4);
+--   ETRAX_DATA_BUS_C(15 downto 8) <= DATA_BUS(15 downto 8);
+--   ETRAX_DATA_BUS_B(15 downto 8) <= DATA_BUS(23 downto 16);
+--   ETRAX_DATA_BUS_B(7 downto 0) <= DATA_BUS(31 downto 24);
+  ETRAX_IS_READY_TO_READ <= etrax_is_ready_to_read_i  or (DATA_VALID and lvl2_not_valid_pulse  and LVL2_VALID);
+  ENABLE_TRIGG_TOETRAX: process (CLK, internal_reset_i)
+  begin  -- process SEND_SYNCH_TRIGGER_TO_ETRAX
+    if rising_edge(CLK) then
+      if internal_reset_i = '1' then      -- asynchronous reset (active low)
+        en_trigg_to_etrax <= '0';
+      else
+        en_trigg_to_etrax <= etrax_is_ready_to_read_i;
+      end if;
+    end if;
+  end process  ENABLE_TRIGG_TOETRAX;
+--  ETRAX_DATA_BUS_B(16) <= not CLK when en_trigg_to_etrax = '1' else '0';
+  not_etrax_busy <= not ETRAX_DATA_BUS_B(17);
+  ETRAX_NOT_BUSY_PULSER      : edge_to_pulse
+    port map (
+      clock     => CLK,
+      en_clk    => '1',
+      signal_in => not_etrax_busy,
+      pulse     => etrax_busy_end_pulse);
+
+  
+  COUNT_SENT_WORDS: process (CLK, RESET,ETRAX_DATA_BUS_B,busy_dma_counter,etrax_is_ready_to_read_i,ETRAX_DATA_BUS_B(17))
+  begin  -- process COUNT_SENT_WORDS
+    if rising_edge(CLK)  then
+      if internal_reset_i = '1' or etrax_busy_end_pulse = '1' then                 -- asynchronous reset (active low)
+        busy_dma_counter <= x"0";
+
+      elsif etrax_is_ready_to_read_i = '1' and busy_dma_counter < x"a" then
+        busy_dma_counter <= busy_dma_counter + 1;
+
+      end if;
+    end if;
+  end process COUNT_SENT_WORDS;
+  busy_dma <= '1' when busy_dma_counter= x"a" else '0';  
+  ETRAX_DATA_BUS_B(16) <= DATA_VALID and (not LVL2_VALID);
+-------------------------------------------------------------------------------
+-- tdc jtag programming
+-------------------------------------------------------------------------------
+--  TDC_TRST <= not fpga_register_06_i(2);
+--  TDC_RESET <= fpga_register_06_i(1);
+  
+--   TDC_TMS                        <= ETRAX_DATA_BUS_C(1) when fpga_register_06_i(0) = '1'  else '1';
+--   TDC_TCK                        <= ETRAX_DATA_BUS_C(2) when fpga_register_06_i(0) = '1'  else '1';
+--   TDC_TDI                        <= ETRAX_DATA_BUS_C(3) when fpga_register_06_i(0) = '1'  else '1';
+--   ETRAX_DATA_BUS_C(0)            <= TDC_TDO when fpga_register_06_i(0) = '1' else DATA_BUS(0);
+--   ETRAX_DATA_BUS_C(1)            <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(1);
+--   ETRAX_DATA_BUS_C(2)            <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(2);
+--   ETRAX_DATA_BUS_C(3)            <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(3);
+  TDC_TMS                        <= ETRAX_DATA_BUS_C(1) when fpga_register_06_i(0) = '1'  else '1';
+  TDC_TCK                        <= ETRAX_DATA_BUS_C(2) when fpga_register_06_i(0) = '1'  else '1';
+  TDC_TDI                        <= ETRAX_DATA_BUS_C(3) when fpga_register_06_i(0) = '1'  else '1';
+  ETRAX_DATA_BUS_C(0)            <= TDC_TDO when fpga_register_06_i(0) = '1' else DATA_BUS(16);
+  ETRAX_DATA_BUS_C(1)            <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(17);
+  ETRAX_DATA_BUS_C(2)            <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(18);
+  ETRAX_DATA_BUS_C(3)            <= 'Z' when fpga_register_06_i(0) = '1' else DATA_BUS(19);
+  
+--   TDC_JAM_SIGNALS : process (CLK, internal_reset_i, DATA_VALID, fpga_register_06_i(0))
+--   begin
+--     if rising_edge(CLK) then
+--       if internal_reset_i = '1' then
+--         TDC_TMS                        <= '1';
+--         TDC_TCK                        <= '1';
+--         TDC_TDI                        <= '1';
+--         ETRAX_DATA_BUS_C               <= (others => 'Z');
+--       elsif fpga_register_06_i(0) = '1' then
+--         TDC_TMS                        <= ETRAX_DATA_BUS_C(1);
+--         TDC_TCK                        <= ETRAX_DATA_BUS_C(2);
+--         TDC_TDI                        <= ETRAX_DATA_BUS_C(3);
+--         ETRAX_DATA_BUS_C(0)            <= TDC_TDO;
+--         ETRAX_DATA_BUS_C(17 downto 1) <= (others => 'Z');
+--       else
+--         ETRAX_DATA_BUS_C(15 downto 0) <= DATA_BUS(31 downto 16);
+--         ETRAX_DATA_BUS_C(16) <= 'Z';
+--         ETRAX_DATA_BUS_C(17) <= 'Z';
+--         TDC_TMS                        <= '1';
+--         TDC_TCK                        <= '1';
+--         TDC_TDI                        <= '1';
+--       else
+--         TDC_TMS                        <= '1';
+--         TDC_TCK                        <= '1';
+--         TDC_TDI                        <= '1';
+--         ETRAX_DATA_BUS_C               <= (others => 'Z');
+--       end if;
+--     end if;
+--   end process TDC_JAM_SIGNALS;
+end etrax_interfacev2;
diff --git a/fifo16kW.ngc b/fifo16kW.ngc
new file mode 100644 (file)
index 0000000..97e19fe
--- /dev/null
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4e
+$b5b\7f41<,[o}e~g`n;"2*447&;:%>-*=b:-kkhc(ehxng"byl0]emcif'}g{#Rmh/gkek40l_'dlc<5?9:23456789:?<6>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=:401636>66<2::<=<405684167<2:3<=?41292*54738>1EC^ZT;RQKBYBEY^RSYF]ERJ?56<76;=0=94FNQWW>UTHOVOF\YWPTIPFWMYF[ZOYX1?<:1<13>732@D[YY4_RNE\AHVSQV^C^H]G_OGDEQC;9:0;2<h4158JJUSS2YXDKRHZLM]WLWCT@5;86=0=6:37>LHW]]0[^BIPFTNO[QNUMZBTM^]JRU>27?69:?1:87GAPTV9TWI@WO_G@RZGRDQK[KC@I]O7=>4?>32851<NFY__6}|`g^gntq\7fX|axn\7fe2>3;2=62=6<3CE\XZ5psmd[`kw|pU\7fd\7fk|h^cpw`ts4891<3<8;069MKVR\3zycjQjmqvz[qnumzbTbhintd>27?699o1:87GAPTV9twi`Wo\7fg`Rzgrdqk845=878=7<:5IORVP?vugnUmyabPtipfwmYf{zoyx1?<:1<12>732@D[YY4\7frne\bpjkW}byi~fPndebp`:6;3:5=i5>4;MVPUSS2MOIYJQ]D^RMPW:6;3:5=i5>4;MVPUSS2MOIYJQXR^RMPW:6;3:5=i5>4;MVPUSS2[NTHLZN_BMVJQ:6;3:5=i5>4;MVPUSS2^XTHLZN_BMVJQ:6;3:5=l5>4;MVPUSS2mk\7fmRm`uov?56<76;?0=94@UURVP?bbj|mT~iQ\7fnup\tist9Vx7=>4?>0d851<H]]Z^X7jjbte\vaYwf}xT~1?<:1<2b>732F__\XZ5dd`vcZtcWyd\7f~Ry31283:73<9=0DYY^ZT;fffpaX\7f{U{by|Ppmwp5Zq;9:0;2?;4158LQQVR\3nnnxiPws]sjqtXxe\7fx>Ry31283:4`<9=0DYY^ZT;fffpaX\7f{U{by|Pr=30>586n2;?6B[[PTV9``droV}yS}`{r^u?56<768n0=94@UURVP?tcWmk\7fmRm`uov?56<768n0=94@UURVP?quWmk\7fmRm`uov?56<768>0=84FNQWW>DBCZH6:87>115921?OIX\^1MIJ]B=37>5863;;97><5IORVP?BNI59:6=0>2:11>LHW]]0OEO2<1;2=57=4:3CE\XZ5DHL?74<76880??4FNQWW>aoi4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87;?7><5OTVSQQ<CG\^7?<4?>06877<H]]Z^X7j`uu>05?69:2>;=<5;:HLSQQ<CAYK7?7>11097>LHW]]0OE]L33;2=55=32@D[YY4XE@>0>58682>1EC^ZT;UFF95=87;9794@UURVP?BHXH686=0>2:69KPRW]]0OC]L33;2=b>2qdq>;jk?>49/244=1:2<286869708<52<09:;866=4068<146923?74>?939:21=>>?337LJKR@>3:<=FLMXJ0<>19:CG@WG;98427LJKR@>26;?<IMNYM1?<>c9B@ATF48>1<374AEFQE973611JHI\N<0<;?DBCZH69255NDEPB868?3HNO^L2;>99B@ATF4<437LJKR@>5:==FLMXJ0:07;@FGVD:?611JHI\N<8<;?DBCZK6;245NDEPA846912KOH_L310<:?DBCZK6:>374AEFQF9746k1JHI\M<0694;?<IMNYN1?;>99B@ATE48437LJKRC>1:==FLMXI0>07;@FGVG:3611JHI\M<4<;?DBCZK6=255NDEPA828?3HNO^O27>99B@ATE404h7LBBORV\AHVSQk1J@@A\T^DVHI?<IZSEOR\JG29AQ7><J\YTECH@1:Ab?FGTKHNNECOn;BCPGDBBAGHi7NO\C@FFKPRFj2IJ_NOKENWWF7=DM;1HE95LLJC7?FJLJ:1H@_74CNONMQRBL>1H^HO[EE38@c=CMK_LS_OB_DOSP\c<LLH^KR\NM^DVHI`<LLH^KR\NM^PG[@Ha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e>BH]]68=7>18:FLQQ:497=0HB[[<2<4?AIR\5>5;6J@UU>6:2=CG\^7:394DNWW82803ME^X1617:FLQQ:>6;1N885JMQVZ7>CII:1NBO<4F368B7@7=2LJ@^K<;GF@0>@CKL90JIK<;GFS0>@CXL>0JK<?4:DVHI3<Nhfxi<5H3:EM@4=N:2C;>6G>2:K16>O402CEEY^P01:8MKOSXV::46GAIUR\47><AGC_\R><8:KMMQVX8=20ECG[P^26<>OIA]ZT<;64IOKWTZ6012CEEY][AUG4?LHN\V:;;6GAIU]352=NF@^T<?94IOKW[5503@DBXR>;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D1<AGC_S=L8;HLJPZ6D?2CEEYQ?D69JJLRX8L=0ECG[_1D4?LHN\V;;;6GAIU]252=NF@^T=?94IOKW[4503@DBXR?;7:KMMQY6=>1BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1<AGC_S<L8;HLJPZ7D?2CEEYQ>D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D1<AGC_S?L8;HLJPZ4D?2CEEYQ=D69JJLRX:L=0ECG[_3D4?LHN\V9;;6GAIU]052=NF@^T??94IOKW[6503@DBXR=;7:KMMQY4=>1BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1<AGC_S>L8;HLJPZ5D?2CEEYQ<D69JJLRX;L=0ECG[_2D5?LHN\VK=7D@FT^@:?LHN\VLB@H=4IOT26>OI^LX_SNBD_EMB[WC@9;1BB[K]T^AOOZBHJVXNK>5FOC08HL4<DF<0@BOKEE58HJANKHF?7A[[159OQQ433E__?95CUU65?ISS<VF>7@[WCX78IP^A=<1FYUH9c:ObnjtQm{ybccm4MhllvScu{`ee>6@>3:L246=I9890B<<;;O3151=I9;8?7C?=459M57033G;9;95A13;0?K74<2D:?=:4N0110>H6;=90B<:;;O3711=I9=<?7C?;759M51>33G;?5>5A1468J437<2D:9<:4N0710>H6=:>0B<;;4:L2102<F8?=86@>5668J43?<2D:94=4N047?K718=1E=;?;;O3561=I9?9?7C?9459M53333G;=:95A1757?K710=1E=;7<;O340>H6?9>0B<9>4:L2372<F8=886@>7568J412<2D:;;:4N0540>H6?190B<6;;O3;71=I91>?7C?7559M5=033G;3;95A19:7?K7?1:1E=4:4N0;30>H618>0B<7=4:L2=62<F83?86@>9468J4?1<2D:54<4N318J7633G8;<95A2137?K47:=1E>==;;O0301=I:9??7C<?659M65133G8;495A21;0?K46<2D9==:4N3320>H59;90B?<<;O007>H5<:1E>8=4N340?K40;2D94>5A2818J6643G9:?6@<229M765<F:>87C=:3:L026=I<890B9==;O71?K053G=97C6=;O;e?KDXJ\Y[ECQ_RNRP3>HB\^EYG:5AOLGQC@2<FFDN=6A=;NI;?JPBZOFD[<5_4:RBVQg<X@DTNX]FDY`8TLHXJ\YEM@K<;QPFe>VUGNUNA]ZV159SVJAXMDZ_URZGRDQK8586=2ZYCJQJMQVZ[QNUMZB7==0>5:RQKBYBEY^RSYF]ERJ?5486=2ZYCJQJMQVZ[QNUMZB7=?0>7:RQKBYBEY^RSYF]ERJ?56<768?0\_AH_DOSP\YS@[OXD1?<>068TWI@WLG[XTQ[HSGPL9799=1[^BIPELRW]ZROZLYC0?0>4:RQKBYBEY^RSYF]ERJ?7;733YXDKRKBPU[\PMTB[A6?2<:4PSMD[@KW\PU_D_K\H=7=51=WZFMTI@^[Y^VKV@UO4?4:86^]OF]FIUR^W]BYI^F37?37?UTHOVOF\YWPTIPFWM:?68>0\_AH_DOSP\YS@[OXD1711c9SVJAXMDZ_URZGRDQK[DUTM[^7<3?l;QPLCZCJX]STXE\JSI]BWVCU\5;;2<m4PSMD[@KW\PU_D_K\H^CPW@TS48;5=n5_RNE\AHVSQV^C^H]G_@QPAWR;9;4:i6^]OF]FIUR^W]BYI^FPARQFVQ:6;3:5=n5_RNE\AHVSQV^C^H]G_@QPAWR;9:4:n6^]OF]FIUR^W]BYI^FPARQFVQ:668h0\_AH_DOSP\YS@[OXDRO\SDPW8786j2ZYCJQJMQVZ[QNUMZBTM^]JRU>0:4d<X[ELSHC_TX]WLWCT@VKX_H\[<5<2f>VUGNUNA]ZV_UJQAVNXIZYN^Y2:>0`8TWI@WLG[XTQ[HSGPLZGT[LX_0;0>b:RQKBYBEY^RSYF]ERJ\EVUBZ]6<2<l4PSMD[@KW\PU_D_K\H^CPW@TS414:n6^]OF]FIUR^W]BYI^FPARQFVQ:>68h0\_AH_DOSP\YS@[OXDR@JG@VF8586k2ZYCJQJMQVZ[QNUMZBTBHINTD>24;7d3YXDKRKBPU[\PMTB[AUEIJO[E=32:4e<X[ELSHC_TX]WLWCT@VDNKLZJ<00=5`=WZFMTI@^[Y^VKV@UOWGOLMYK31283:4e<X[ELSHC_TX]WLWCT@VDNKLZJ<01=5g=WZFMTI@^[Y^VKV@UOWGOLMYK31?3a?UTHOVOF\YWPTIPFWMYIMNK_I1<11c9SVJAXMDZ_URZGRDQK[KC@I]O7?3?m;QPLCZCJX]STXE\JSI]MABGSM5>5=o5_RNE\AHVSQV^C^H]G_OGDEQC;=7;i7]\@G^GNTQ_X\AXN_EQAEFCWA9099k1[^BIPELRW]ZROZLYCSCKHAUG?3;7e3YXDKRKBPU[\PMTB[AUEIJO[E=:=5g=WZFMTI@^[Y^VKV@UOWGOLMYK39?;8TWI@WO_G@<=4PSMD[CSKDV^C^H]G<1<20>VUGNUMYABPTIPFWM:687;?7]\@G^DVHIYS@[OXD1?>>068TWI@WO_G@RZGRDQK84499?1[^BIPFTNO[QNUMZB7=>4?>068TWI@WO_G@RZGRDQK84599:1[^BIPFTNO[QNUMZB7=3?<;QPLCZ@RDEU_D_K\H=0=56=WZFMTJXBC_UJQAVN;;7;87]\@G^DVHIYS@[OXD1:1129SVJAXN\FGSYF]ERJ?1;743YXDKRHZLM]WLWCT@5<5=>5_RNE\BPJKW]BYI^F37?30?UTHOVL^@AQ[HSGPL9>99:1[^BIPFTNO[QNUMZB753?n;QPLCZ@RDEU_D_K\H^CPW@TS494:n6^]OF]EQIJX\AXN_EQNSRGQP97768h0\_AH_GWOHZROZLYCSL]\ESV?5486j2ZYCJQIUMN\PMTB[AUJ_^K]T=31:4b<X[ELSK[CL^VKV@UOWHYXI_Z31283:4d<X[ELSK[CL^VKV@UOWHYXI_Z312<2e>VUGNUMYABPTIPFWMYF[ZOYX1?11`9SVJAXN\FGSYF]ERJ\EVUBZ]692<o4PSMD[CSKDV^C^H]G_@QPAWR;;7;j7]\@G^DVHIYS@[OXDRO\SDPW8186i2ZYCJQIUMN\PMTB[AUJ_^K]T=7=5d=WZFMTJXBC_UJQAVNXIZYN^Y29>0c8TWI@WO_G@RZGRDQK[DUTM[^7;3?n;QPLCZ@RDEU_D_K\H^CPW@TS414:m6^]OF]EQIJX\AXN_EQNSRGQP9?99h1[^BIPFTNO[QNUMZBTBHINTD>3:4d<X[ELSK[CL^VKV@UOWGOLMYK311<2f>VUGNUMYABPTIPFWMYIMNK_I1?>>0`8TWI@WO_G@RZGRDQK[KC@I]O7=?0>d:RQKBYA]EFTXE\JSI]MABGSM5;86=0>b:RQKBYA]EFTXE\JSI]MABGSM5;82<o4PSMD[CSKDV^C^H]G_OGDEQC;97;j7]\@G^DVHIYS@[OXDR@JG@VF8786i2ZYCJQIUMN\PMTB[AUEIJO[E=1=5d=WZFMTJXBC_UJQAVNXFLMJXH2;>0c8TWI@WO_G@RZGRDQK[KC@I]O793?n;QPLCZ@RDEU_D_K\H^LFCDRB4?4:m6^]OF]EQIJX\AXN_EQAEFCWA9199h1[^BIPFTNO[QNUMZBTBHINTD>;:4g<X[ELSK[CL^VKV@UOWGOLMYK39?38U3=UIDH::k5]AL]F\QCI[@EESLh4R@O\A]RBFZCDBRL9;SF\GIM682XOSIO[A^ALQKR;87;:7_JPD@VB[FIRF]6:<3?>;SF\@DRFWJE^BY2>1?32?WBXLH^JSNAZNU>26;743[NTHLZN_BMVJQ:6;3:5=<5]D^FBPDYDG\D_0<=1119Q@ZBF\HUHCX@[<0<24>TCWMK_MRM@UOV?6;773[NTHLZN_BMVJQ:468:0^IQKAUC\GJSI\5>5==5]D^FBPDYDG\D_080>0:PG[AGSIVIDYCZ36?33?WBXLH^JSNAZNU>4:46<ZMUOMYOPCNWMP9>9991YHRJNT@]@KPHS404>7_JPEOa8V@GCW^COXEQNc:PFEAYPAM^CSO84RDE@AD0<ZLMHIO=4RRV2?V><[H\NHLZN129PMHYDGEFB_DAA_BJFGN0<[F_YOH94SSTBHZG03ZX]MAQM4:QPVD2<[ZXI86ZVPDg8Q5)`mgUj\7f#hhar;8QKBBZOFD[l5ZSDP\EIOF[j1^_H\PVHQJFIC23_KGEI=4VBA:?SOB_V^R\H84WDC?4;0<_LK7=384WDC?6;><_LK7?7>16:UFE959>2]NN1>16:UFF979>2]NN1<18:UFF95=87<0[HL33?`8SWOSMVLB^^Zl;VPJP@YJGMOTMn5XRHVF[HICMVHo7Z\FTD]TMAROWHn0[_G[E^UJ@QNXJ?1\^ROLK79TVZEKC8:0[_QKAUC\GJSI\5:5=<5XR^FBPDYDG\D_0<>1109TVZBF\HUHCX@[<03=54=PZVNJXLQLOTLW84499:1\^RJNT@]@KPHS4891<3?>;VP\@DRFWJE^BY2>3?33?RTXLH^JSNAZNU>2:46<_[UOMYOPCNWMP949991\^RJNT@]@KPHS4:4:<6Y]_ECWEZEH]G^783??;VP\@DRFWJE^BY2:>028SWYCI]KTOB[AT=4=55=PZVNJXLQLOTLW828682]YSIO[A^ALQKR;07;;7Z\PD@VB[FIRF]62285XR^GM5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@Gg=_WJEYIRGAFN33?]YDG[OTECH@_MKPP3=_lkUBhk5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmmg>gkefy\7fShc\7ftx`8eikh{}Umyab9;cc`opvc3kkhgx~Pm`phaw5<keao7io{a^alqkr/8 n0hlzn_bmvjq.6!l1omyoPcnwmp-77!l1omyoPcnwmp-76!l1omyoPcnwmp-75!l1omyoPcnwmp-74!m1omyoPcnwmp-4.l2njxlQlotlw,6/c3mk\7fmRm`uov+0,b<lh~jSnaznu*6-a=ci}kTob{at)4*`>bf|hUhcx`{(6+g?agsiVidycz'8(f8`drfWje~by&6)e9geqgXkf\7fex1>1119geqgXkf\7fex1?<:1<4?adn|lxy=55kecwd[wbXxg~yS}bzs0]q858612nnnxiPre]sjqtXxe\7fx=R|311<2=>bbj|mT~iQ\7fnup\tist9Vx7=<0>9:fffpaXzmU{by|Ppmwp5Zt;9;4:n6jjbte\vaYwf}xT|a{|1^p?56<76830hhlzg^pg[uhszVzgy~?Pr=30:4><llh~kR|k_qlwvZvk}z;T~1?1199gags`W{nT|cz}_qnvw4Yu4;4:46jjbte\vaYwf}xT|a{|1^p?7;7?3moiyjQ}d^rmpwYwd|y:S\7f2;>0:8``droVxoS}`{r^roqv7Xz5?5=55kecwd[wbXxg~yS}bzs0]q838602nnnxiPre]sjqtXxe\7fx=R|37?3;?ace}nUyhR~ats]shpu6W{632<64dd`vcZtcWyd\7f~R~cur3\v9?99;1oio{h_sf\tkruW{6;2<=4dd`vcZtcWyd\7f~R|311<27>bbj|mT~iQ\7fnup\v9766890hhlzg^pg[uhszVx7=?0>5:fffpaXzmU{by|Pr=30>586;2nnnxiPre]sjqtXz5;82<<4dd`vcZtcWyd\7f~R|31?31?ace}nUyhR~ats]q8786:2nnnxiPre]sjqtXz595=?5kecwd[wbXxg~yS\7f2;>008``droVxoS}`{r^p?1;753moiyjQ}d^rmpwYu4?4:>6jjbte\vaYwf}xT~191139gags`W{nT|cz}_s>;:44<llh~kR|k_qlwvZt;17;97ikmuf]q`Zvi|{U|0=0>3:fffpaXzmU{by|Pw=33:45<llh~kR|k_qlwvZq;984:?6jjbte\vaYwf}xT{1?=>078``droVxoS}`{r^u?56<76890hhlzg^pg[uhszV}7=>0>2:fffpaXzmU{by|Pw=3=57=cmk\7flS\7fjPpovq[r:56880hhlzg^pg[uhszV}7?3?=;egaqbYulVzex\7fQx<5<26>bbj|mT~iQ\7fnup\s9399;1oio{h_sf\tkruW~6=2<<4dd`vcZtcWyd\7f~Ry37?31?ace}nUyhR~ats]t8=86:2nnnxiPre]sjqtX\7f535=55kecwd[rtXxg~yS}bzs0]t858612nnnxiPws]sjqtXxe\7fx=Ry311<2=>bbj|mT{\7fQ\7fnup\tist9V}7=<0>9:fffpaX\7f{U{by|Ppmwp5Zq;9;4:n6jjbte\swYwf}xT|a{|1^u?56<76830hhlzg^uq[uhszVzgy~?Pw=30:4><llh~kRy}_qlwvZvk}z;T{1?1199gags`W~xT|cz}_qnvw4Yp4;4:46jjbte\swYwf}xT|a{|1^u?7;7?3moiyjQxr^rmpwYwd|y:Sz2;>0:8``droV}yS}`{r^roqv7X\7f5?5=55kecwd[rtXxg~yS}bzs0]t838602nnnxiPws]sjqtXxe\7fx=Ry37?3;?ace}nU|~R~ats]shpu6W~632<64dd`vcZquWyd\7f~R~cur3\s9?9911oio{h_vp\tkruWyf~\7f?Qx<1<2=>bbj|mT{\7fQ\7fnup\tist:V}7==0>9:fffpaX\7f{U{by|Ppmwp6Zq;984:56jjbte\swYwf}xT|a{|2^u?5786j2nnnxiPws]sjqtXxe\7fx>Ry31283:4?<llh~kRy}_qlwvZvk}z8T{1?<>0:8``droV}yS}`{r^roqv4X\7f5;5=55kecwd[rtXxg~yS}bzs3]t878602nnnxiPws]sjqtXxe\7fx>Ry33?3;?ace}nU|~R~ats]shpu5W~6?2<64dd`vcZquWyd\7f~R~cur0\s939911oio{h_vp\tkruWyf~\7f?Qx<7<2<>bbj|mT{\7fQ\7fnup\tist:V}7;3?7;egaqbYpzVzex\7fQ\7fltq1[r:?6820hhlzg^uq[uhszVzgy~<Pw=;=57=cmk\7flSz|Ppovq[w:76890hhlzg^uq[uhszVx7==0>3:fffpaX\7f{U{by|Pr=32:45<llh~kRy}_qlwvZt;9;4:96jjbte\swYwf}xT~1?<:1<27>bbj|mT{\7fQ\7fnup\v9746880hhlzg^uq[uhszVx7=3?=;egaqbYpzVzex\7fQ}<3<26>bbj|mT{\7fQ\7fnup\v9599;1oio{h_vp\tkruW{6?2<<4dd`vcZquWyd\7f~R|35?31?ace}nU|~R~ats]q8386:2nnnxiPws]sjqtXz5=5=?5kecwd[rtXxg~yS\7f27>008``droV}yS}`{r^p?=;753moiyjQxr^rmpwYp494:?6jjbte\swYwf}xT{1??>018``droV}yS}`{r^u?5486;2nnnxiPws]sjqtX\7f5;92<;4dd`vcZquWyd\7f~Ry31283:45<llh~kRy}_qlwvZq;9:4:>6jjbte\swYwf}xT{1?1139gags`W~xT|cz}_v>1:44<llh~kRy}_qlwvZq;;7;97ikmuf]tvZvi|{U|090>2:fffpaX\7f{U{by|Pw=7=57=cmk\7flSz|Ppovq[r:16880hhlzg^uq[uhszV}7;3?=;egaqbYpzVzex\7fQx<9<26>bbj|mT{\7fQ\7fnup\s9?9>2nbb%>&6:fjj-7.?2nbb%??)69gmk.69 =0hd`'13+4?aoi 89";6jfn)37-2=cag":9$94dhl+53/03mce$<9&7:fjj-7?!>1oec&>9(48`lh/: =0hd`'21+4?aoi ;;";6jfn)01-2=cag"9?$94dhl+61/03mce$?;&7:fjj-41!>1oec&=7(58`lh/:1#<7iga(3;*2>bnf!9";6jfn)13-2=cag"8=$84dhl+0,0<l`d#9$84dhl+2,0<l`d#;$84dhl+<,0<l`d#5$84dhl?4;1<l`d7==08;ekm8479?2nbb1?=>69gmk:6;7=0hd`315<4?aoi48?5;6jfn=35:2=cag6:;394dhl?5=803mce0<716:fjj979?2nbb1<?>69gmk:597=0hd`323<4?aoi4;95;6jfn=07:2=cag699394dhl?63803mce0?917:fjj94?6>1oec2=9?48`lh;:7=0hd`331<:?aoi4:;1<394dhl?74813mce0>09;ekm81813mce0809;ekm83813mce0:09;ekm8=813mce0408;emvp-6.?2ndyy&>)99gkpr/99#37iazt)32-==cg|~#=?'7;emvp-74!11ocxz'15+;?air|!;>%55kotv+53/?3me~x%?8)99gkpr/91#37iazt)3:-2=cg|~#>$64dnww,76.02ndyy&=1(:8`jss ;8"46j`uu*17,><lf\7f\7f$?:&8:flqq.5= 20hb{{(34*<>bh}}"9;$64dnww,7>.02ndyy&=9(58`jss :#37iazt)13-==cg|~#?<'8;emvp-2.?2ndyy&:)69gkpr/> =0hb{{(6+4?air|!2";6j`uu*:-2=cg|~7<364dnww846902ndyy2>1?:8`jss488546j`uu>27;><lf\7f\7f0<:18:flqq:6=720hb{{<04=<>bh}}6:;364dnww84>902ndyy2>9?58`jss48437iazt=03:==cg|~7><07;emvp945611ocxz322<;?air|58?255kotv?608?3me~x1<9>99gkpr;:>437iazt=0;:==cg|~7>408;emvp94902ndyy2<0?c8`jss4:;1<364dnww8679?2ndyy2<>69gkpr;<7=0hb{{<4<4?air|5<5;6j`uu>4:2=cg|~74394dnww8<8?3lnbj?`hd49fiur~02lbjb?9kV01?coag8<`[Rhffn]dakcui}eyS{=P3^zppZ7592lbjbQheogqeqiuW\7f9T?!.Losgdak&>&;c::6hffn]dakcui}eyS{=P3^cp0>`rde20bjmmuhng2`=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>3:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5580:2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9776Vx\7f:k5aosodak(df}hgg"ijn^ampw(`mgUyhRmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:=39=;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<?1_sv5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=31:24<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?578Xz}<n7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484<=6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5S\7fz9e:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1709mkwk`mg$hbylck.efjZei|{$licQ}d^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2=>^pw2`=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:27<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?7;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7=:7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4T~y8j;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir08081:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=34=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>5:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06>;0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;?7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7439>;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir050Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=[wr0=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]oqq:76VCEZR>80:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>0?53?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=32:26<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8449?91ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9:4<?6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:?3Q}t7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<5b>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:3`<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8681n2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:36?l0bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<4=j6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6=2;h4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0:09f:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f27>7d8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<51>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs494=:6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<02=23=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;:2;84nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>26;013geyajka.blwfim(oldTocz}.fgm[wbXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7=>095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8481=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0?095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8681=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f09095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8081=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0;095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8281=2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f05095:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8<81;2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T<;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^350>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX99<?7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>1768jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ75>=1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<=93:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\635<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V9=?6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP4718jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ31;2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T:;=4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^557>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX0?90bb|bgdl-gkredb%licQlnup-c`hXzmUhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR784:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?4;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:<397;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;Yu|>?0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1?>>6:8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}9766Vx\7f;85aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=3==ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4885S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?5;103geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:2R|{759mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8780?2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}959?>1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0>0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36>=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1:1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;=7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2:>^pw31=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4?4<;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5=5;:5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<6<\vq133geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632:94nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=:=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs75398;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>::Zts?k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vf~x1>1_HLU[5113geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7==086:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8479??1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?=>648jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6;7=27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|312<\vq123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7=39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?6;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7?39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?0;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7939:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?2;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7;39:;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?<;123geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7538m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5:5:n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;994=o6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:697<h7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9756?i0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8459>k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5;0e3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=0=2g=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz33?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1:16c9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7938m;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5<5:o5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;?7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9>9>k1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?=;0>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_14:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS<8n;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW8:=m6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ76>h1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]263g<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP124:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS?86;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:<27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[10>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_44:?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS;86;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW><27ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[=0>3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_8:5?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4943;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:=g<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7==0Pru:4?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~48;54l5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>25;Yu|1=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw313<;e>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;92R|{879mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:66130bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw31?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7>366;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az878Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW{nTocz}_qnvw7(edmUyhRmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<2<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq595S\7fz76:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929001ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2;>^pw<3=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6>2574nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?1;Yu|1<0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw36?::?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4?4T~y69;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az828?12dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu191_sv;2>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525445aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>;:Zts0?1ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot26>9;8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;17Uyx5j4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_mww858XAG\T<564nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8469011ec\7fcheo,`jqdkc&mnbRmats,dakYulViex\7fQ\7fltq1*gjcW{nTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|310<;<>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:>367;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv97461h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<01=[wr??2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>>958jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4;43;6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>0:=1<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey09077:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:261=0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<7<;3>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6<2594nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq8=8??2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f26>6f8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2?>6g8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2>0?5f?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz310<4a>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<00=3`=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=30:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>2:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>1:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>0:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>7:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>6:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>5:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>4:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>;:2b<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>::2e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=2=3a=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<02=3a=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<03=3a=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<00=3a=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<01=3f=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<0<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz32?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_sf\gkruWyf~\7f? mle]q`Zei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~by2<>6a8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fex1:17b9mkwk`mg$hbylck.efjZei|{$licQ}d^ampwYwd|y9"obk_sf\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7f0808c:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~7:39l;omqibci&jd\7fnae gdl\gkru&noeS\7fjPcovq[ujr{;$i`iQ}d^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}6<2:m4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|525;n5aosodak(df}hgg"ijn^ampw(`mgUyhRmats]shpu5&kfoS\7fjPcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphs404<n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX8>h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ70k2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\551d3geyajka.blwfim(oldTocz}.fgm[wbXkg~yS}bzs3,ahaYulViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]252e<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^313f=ig{glic lnu`oo*abfViex\7f heo]q`Zei|{U{`x}=.cng[wbXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_014f>hhzdmnb#matcnh+bciWjd\7f~#ijn^pg[fhszVzgy~<!bmf\vaYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP26`8jjtjold%oczmlj-dakYdf}x%kh`Pre]`jqtXxe\7fx>#lcd^pg[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR=8b:llvhabf'iexobd/fgm[fhsz'mnbR|k_blwvZvk}z8%najPre]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T8:l4nnpnc`h)kg~i`f!heo]`jqt)oldT~iQlnup\tist:'hghR|k_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V?<n6``rlefj+ei|kf`#jka_blwv+abfVxoSn`{r^roqv4)jenT~iQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX>>h0bb|bgdl-gkredb%licQlnup-c`hXzmUhby|Ppmwp6+dklVxoSn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ10j2dd~`ijn/ampgjl'noeSn`{r/efjZtcWjd\7f~R~cur0-fibXzmUhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\<2d<ffxfkh`!covahn)`mgUhby|!gdl\vaYdf}xT|a{|2/`o`ZtcWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^;5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=2=2c=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>24;153geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8469W{~=j6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;:2:<4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=<0Pru4e?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<00=37=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;Yu|?o0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;97=:7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484T~y8j;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0?081:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwv*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv5a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=1=34=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:Zts>l1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:36>;0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7Uyx;k4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7939>;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir080Pru4f?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<7<45>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr1m2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}919?81ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06Vx\7f:h5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp632:?4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs743Q}t7g8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw39?52?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<\vq123geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^nvp969W@D]S=9?;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>628jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqt(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<03=35=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?578082dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:6;7=87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5;82R|{6g9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|31?4e?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=0=2c=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?7;0a3geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929>o1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;=7<m7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZ~hz5<5:k5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXpfx7;38i;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1616g9mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|39?46?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;87<=7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz311<52>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhsz&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48;5:;5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=31:30<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}x$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6:?38:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7>38:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?7;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7838:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?1;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?3;023geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7438:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?=;043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U;:>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_047?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY68?>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby| gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR?>659mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[441<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=>8<;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]126=ig{glic lnu`oo*abfViex\7f heo]tvZei|{%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-`kphsW:<87ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ;629mkwk`mg$hbylck.efjZei|{$licQxr^ampw)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[0043geyajka.blwfim(oldTocz}.fgm[rtXkg~y#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U=:>5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{_640?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkru'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>:1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7f!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7fS49;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>3:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;;2:64nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=33:Zts?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<?1799mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8479W{~<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw313<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;9;4T~y9;;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>2:21<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5;5S\7fz84:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?6;103geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp692R|{759mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8680?2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}929?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir090Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f<!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:26>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1;1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;>7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot29>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4>4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq525;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu6'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<9<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs0-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp622:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=;=[wr0j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWe\7f\7f0=0PIOT\420<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:<399;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{8%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPxnp?5480>2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<<1779mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y:#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9746>30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2>3?]qp23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6:2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>1:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{682:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>7:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6>2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{6<2:;4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>;:23<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{622;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs494=o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:687<h7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9766?i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8449>j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq2+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5681j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>2:3d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<3<5f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~? gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Zly~`y2<>7`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Tb{|f\7f0909b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z;$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,V`urd}6>2;l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs4?4=n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv7(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:06?h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8=81j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>::3?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP07;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T=;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX99<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw4)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[471i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur3,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^312d=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}>/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>37;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T>;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX;?30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\03?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP57;8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx="ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T:;74nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist9&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX??30bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp5*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\<3?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|1.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP9668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}969?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<>1799mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8469W{~<96``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw310<4<>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;984T~y9:;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>26;1?3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:>3Q}t668jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}979?>1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir0<0Pru57?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56>=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1_sv40>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;;7=<7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Tot2<>^pw31=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~4=4<;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw34?]qp22<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|2.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq5?5;:5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<4<\vq133geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2:94nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=[wr0<2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7;398;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>4:Zts?=1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir05087:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z8$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?<;Yu|>>0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp6*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu171769mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8<8Xz}=i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~T`xz30?]JJSY7??1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1??>648jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx>"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:697==7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!BcnwmpZeh}g~Ttb|313<42>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~< gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4895;45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=30:Zts?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1?1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv949?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1=1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv929?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1;1749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv909?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~191749mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^zlv9>9?<1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vrd~1716c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7<38l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5;;2;m4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.Pfwpjs48;5:n5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;9;4=o6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv4(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz Rdqvhq:6;7<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop979>k1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?6;0e3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs3-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=1=2g=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'[oxyaz34?4a?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f?!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Umz\7fgx1;16c9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Wct}e~7:38m;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{;%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Qavsk|5=5:o5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/Sgpqir;07<i7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!]erwop9?9>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]32<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ>6`9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U:<;o4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist:&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX98<j7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw7)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[441i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur0,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^302<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ=689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U8:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY3>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]62<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}=/fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~byQ9689mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y9#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}U<:45aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu5'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/bmvjqY?>01ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq1+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]:<3=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6;2594nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_b{?558?i2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1??>^pw<2=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6:=36n;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8479W{~3;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=31:=g<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7=?0Pru:5?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'DidyczPcnwmpZe~484356``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=3=[wr?>2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Uhu1<1889mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:56Vx\7f4;5aosodak(df}hgg"ijn^ampw(`mgU|~Rmats]shpu4&kfoSz|Pcovq[ujr{:%licQmio]`jqYsgy$iecQlnu]wku)`mgUi\7fnQmio]`jq(edmUiecQlnu-Ngjsi|VidyczPcx>0:=?<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs7?3Q}t948jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[f\7f;<7227ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<5<\vq>13geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#@m`uov\gjsi|Vir08079:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]`}939W{~3:6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQly=4=<<=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Fob{at^alqkrXkp6=2R|{879mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\g|:06130bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSnw37?]qp=0<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWjs74366;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+Heh}g~Tob{at^az8=8Xz}2=7ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRmv<8<;=>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqYdq535S\7fz7d:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]oqq:76VCEZR>78:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:687237ca}mfgm*fhsjea$kh`Pcovq*bciW~xTocz}_qnvw6(edmU|~Rmats]shpu4'noeSoga_blw[qiw&kceSn`{_ums+bciWkyhSoga_blw*gjcWkceSn`{/LalqkrXkf\7fexRv`r=32:=><ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0<<1899mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)Jkf\7fexRm`uov\|jt;9:43n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>27;Yu|1=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<0<;3>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Ghcx`{_bmvjqY\7fg{692594nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,Ifirf}Uhcx`{_ymq868??2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"Clotlw[firf}Usc\7f2;>958jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(Eje~byQlotlw[}iu4<43;6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.O`kphsWje~byQwos>5:=1<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$Anaznu]`kphsWqey0:077:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*Kdg|d\7fSnaznu]{kw:?61=0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz MbmvjqYdg|d\7fSua}<8<4`>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<1<4a>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&Xn\7fxb{<02=3`=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%Yi~{ct=32:2c<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$^h}zlu>26;1b3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#_k|umv?5680l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8480l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8780l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8680l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8180l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8080l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8380l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8280l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8=80l2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"\jstnw8<80k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov?4;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>24;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>25;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>26;1c3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>27;1d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu>2:2e<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at=0=3f=ig{glic lnu`oo*abfViex\7f heo]tvZei|{U{`x}<.cng[rtXkg~yS}bzs2-dakYeagUhbyQ{oq,amkYdf}U\7fc}!heo]awfYeagUhby mle]amkYdf}%hcx`{<2<4g>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idycz34?5`?kiuenoe"n`{bmi,c`hXkg~y"jka_vp\gkruWyf~\7f> mle]tvZei|{U{`x}</fgm[goiWjd\7fSya\7f.ckm[fhsW}e{#jka_cq`[goiWjd\7f"obk_ckm[fhs'je~by2:>6a8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fex1817b9mkwk`mg$hbylck.efjZei|{$licQxr^ampwYwd|y8"obk_vp\gkruWyf~\7f>!heo]amkYdf}U\7fc} mio]`jqYsgy%licQmsb]amkYdf}$i`iQmio]`jq)dg|d\7f0:08c:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~7439l;omqibci&jd\7fnae gdl\gkru&noeSz|Pcovq[ujr{:$i`iQxr^ampwYwd|y8#jka_ckm[fhsW}e{"oga_blw[qiw'noeSo}l_ckm[fhs&kfoSoga_blw+firf}622:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V:<n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX9>i0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ77?j1ec\7fcheo,`jqdkc&mnbRmats,dakYpzViex\7fQ\7fltq0*gjcW~xTocz}_qnvw6)`mgUiecQlnu]wku(eagUhbyQ{oq-dakYe{jUiecQlnu,ahaYeagUhby!lotlw[470k2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\571d3geyajka.blwfim(oldTocz}.fgm[rtXkg~yS}bzs2,ahaYpzViex\7fQ\7fltq0+bciWkceSn`{_ums*goiWjd\7fSya\7f/fgm[gudWkceSn`{.cng[goiWjd\7f#naznu]272d<ffxfkh`!covahn)`mgUhby|!gdl\swYdf}xT|a{|3/`o`ZquWjd\7f~R~cur1,c`hXj`dToczPtnr-flhXkg~Txb~ gdl\fveXj`dTocz!bmf\flhXkg~$ob{at^04f>hhzdmnb#matcnh+bciWjd\7f~#ijn^uq[fhszVzgy~=!bmf\swYdf}xT|a{|3.efjZdnfViexRz`p/`jjZei|V~d|"ijn^`pgZdnfViex#lcd^`jjZei|&idyczP36`8jjtjold%oczmlj-dakYdf}x%kh`Pws]`jqtXxe\7fx?#lcd^uq[fhszVzgy~= gdl\flhXkg~Txb~!bhl\gkrX|fz$kh`Pbra\flhXkg~%najPbhl\gkr(kf\7fexR:8b:llvhabf'iexobd/fgm[fhsz'mnbRy}_blwvZvk}z9%najPws]`jqtXxe\7fx?"ijn^`jjZei|V~d|#lfn^ampZrhx&mnbRl|c^`jjZei|'hghRlfn^amp*eh}g~T9:l4nnpnc`h)kg~i`f!heo]`jqt)oldT{\7fQlnup\tist;'hghRy}_blwvZvk}z9$kh`Pbhl\gkrX|fz%nd`Pcov\pjv(oldTn~mPbhl\gkr)jenTnd`Pcov,gjsi|V<<n6``rlefj+ei|kf`#jka_blwv+abfV}ySn`{r^roqv5)jenT{\7fQlnup\tist;&mnbRlfn^ampZrhx'hbbRmat^vlt*abfVhxoRlfn^amp+dklVhbbRmat.alqkrX?>h0bb|bgdl-gkredb%licQlnup-c`hX\7f{Uhby|Ppmwp7+dklV}ySn`{r^roqv5(oldTnd`Pcov\pjv)j`dToczPtnr,c`hXjziTnd`Pcov-fibXj`dTocz cnwmpZ>0j2dd~`ijn/ampgjl'noeSn`{r/efjZquWjd\7f~R~cur1-fibX\7f{Uhby|Ppmwp7*abfVhbbRmat^vlt+dnfViexRz`p.efjZdtkVhbbRmat/`o`ZdnfViex"m`uov\=7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1>1289mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZe~48:5>45aosodak(adkf`#@}zb^vntZvi|{UySigif^az8479:01ec\7fcheo,ehgjl'Dy~nRzbp^rmpwYuWmcmjRmv<00=6==ig{glic ilcnh+HurjV~f|R~ats]q[aoanVir0<0=8:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[f\7f;:7837ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPcx>0:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\vZbnnoUhu1:1299mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZe~4<4946``rlefj+`kjea$A~{m_uos[uhszVxThdhi_b{?2;4?3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTot28>3:8jjtjold%jalck.OpqgYseyU{by|Pr^fjbcYdq525>55aosodak(adkf`#@}zb^vntZvi|{UySigif^az8<8512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSa{{<1<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0<>12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQ}_ekebZjr|5;:2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT~Rjffg]oqq:6:78j7ca}mfgm*cjedb%F\7fxlPtlr\tkruW{UoekhPltv?568512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSa{{<0<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0?0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss4:4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww818512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSa{{<4<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWe\7f\7f0;0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[iss4>4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_mww8=8512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSa{{<8<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey0=0=a:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu48:5>l5aosodak(adkf`#@}zb^vntZvi|{UySigif^zlv9766;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtXzVnbjkQwos>26;4f3geyajka.gnahn)J{|hTx`~Ppovq[wYcaolTtb|312<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey0<0=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu4;4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq868512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<5<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey080=9:llvhabf'lgnae Mrwa[qkwWyd\7f~R|Pdhde[}iu4?4956``rlefj+`kjea$A~{m_uos[uhszVxThdhi_ymq828512dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZtXl`lmSua}<9<1=>hhzdmnb#hcbmi,IvseW}g{S}`{r^p\`l`aWqey040=8:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;87827ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>24;4>3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot2>1?0:?kiuenoe"kbmlj-NwpdX|dzT|cz}_v]gmc`Xkp6:>3<7;omqibci&ofi`f!Bst`\phvXxg~ySzQkigd\g|:66;20bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQly=0=6==ig{glic ilcnh+HurjV~f|R~ats]t[aoanVir0>0=8:llvhabf'lgnae Mrwa[qkwWyd\7f~RyPdhde[f\7f;<7837ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPcx>6:7><ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUhu181299mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZe~4>4946``rlefj+`kjea$A~{m_uos[uhszV}Thdhi_b{?<;4?3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTot26>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6;2?o4nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:6878j7ca}mfgm*cjedb%F\7fxlPtlr\tkruW~UoekhPltv?5485i2dd~`ijn/dofim(Ez\7fiSyc\7f_qlwvZqXl`lmSa{{<00=6d=ig{glic ilcnh+HurjV~f|R~ats]t[aoanVf~x1?<>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6:2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:56;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQcuu>0:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUgyy2;>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}6>2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]oqq:16;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQcuu>4:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUgyy27>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcYk}}622?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:76;k0bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>24;4f3geyajka.gnahn)J{|hTx`~Ppovq[rYcaolTtb|310<1e>hhzdmnb#hcbmi,IvseW}g{S}`{r^u\`l`aWqey0<<12`9mkwk`mg$m`obd/LqvfZrjxVzex\7fQx_ekebZ~hz5;82?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:66;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>1:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUsc\7f2<>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcY\7fg{6?2?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:26;30bb|bgdl-bidkc&GxyoQ{mq]sjqtX\7fVnbjkQwos>5:7?<ffxfkh`!fm`oo*Kt}kU\7fa}Q\7fnup\sZbnnoUsc\7f28>3;8jjtjold%jalck.OpqgYseyU{by|Pw^fjbcY\7fg{632?74nnpnc`h)nehgg"C|uc]wiuYwf}xT{Rjffg]{kw:>68;0bb|bgdl-bidkc&D:<<?4nnpnc`h)nehgg"@>1038jjtjold%jalck.L2647<ffxfkh`!fm`oo*H6;8;0bb|bgdl-bidkc&D:8<?4nnpnc`h)nehgg"@>5038jjtjold%jalck.L2247<ffxfkh`!fm`oo*H6?8;0bb|bgdl-bidkc&D:4<?4nnpnc`h)nehgg"@>9038jjtjold%jalck.L1447<ffxfkh`!fm`oo*H598;0bb|bgdl-bidkc&D9><?4nnpnc`h)nehgg"@=3038jjtjold%jalck.L1047<ffxfkh`!fm`oo*H5=8;0bb|bgdl-bidkc&D9:<?4nnpnc`h)nehgg"@=7038jjtjold%jalck.L1<47<ffxfkh`!fm`oo*H518;0bb|bgdl-bidkc&D8<<?4nnpnc`h)nehgg"@<1038jjtjold%jalck.L0647<ffxfkh`!fm`oo*H4;8:0bb|bgdl-bidkc&D===5aosodak(adkf`#C9>0:llvhabf'lgnae N933?kiuenoe"kbmlj-M=6><ffxfkh`!fm`oo*ekcdeo#nv!rez,I}iuWyd\7f~Ril_ymq4567W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$yhu!Bxnp\tkruWniTtb|?013\V`urd};846``rlefj+`kjea$oaeboe-`|+tcp&Gsc\7fQ\7fnup\cfY\7fg{:;<?Q]erwop45?3geyajka.gnahn)ddbgdh"mw.sf{+H~hzVzex\7fQhc^zlv567;VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%~iv Mymq[uhszVmhSua}0127[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(ulq%Ftb|Ppovq[beXpfx;<=;PRdqvhq7402dd~`ijn/dofim(keafci!lx/pg|*K\7fg{U{by|Pgb]{kw678?UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/LzlvZvi|{UloRv`r1233ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)zmr$Aua}_qlwvZadWqey<=>7_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,q`})JpfxT|cz}_fa\|jt7893T^h}zlu30<>hhzdmnb#hcbmi,gimjgm%ht#|kx.O{kwYwf}xTknQwos2355YUmz\7fgx<=7;omqibci&ofi`f!lljol`*e\7f&{ns#@v`r^rmpwY`kVrd~=>>1^Pfwpjs9:20bb|bgdl-bidkc&igg`ak/bz-va~(EqeyS}`{r^e`[}iu89;9S_k|umv261=ig{glic ilcnh+fjlefn$ou }dy-SJQTXJV:986``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_006?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX998>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1006?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX9;8>7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLP1207?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDX:;>0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQ<259mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ25<2dd~`ijn/dofim(keafci!lx/pg|*VI\[UIS8<;;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\272<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKU<>95aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^:10>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEW08j7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'YD_^RLPxnp34565j2dd~`ijn/dofim(keafci!lx/pg|*VI\[UISua}012357g<ffxfkh`!fm`oo*ekcdeo#nv!rez,TKRUWKUsc\7f>?000a?kiuenoe"kbmlj-`hnkhl&is"\7fjw/QLWVZDXpfx;<=?>2`9mkwk`mg$m`obd/bnhijb(kq$yhu!_NUP\FZ~hz9:;>?l4nnpnc`h)nehgg"mcklmg+f~)zmr$\CZ]_C]{kw678;;9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4564:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123774f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?0161f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>;13c8jjtjold%jalck.aoohic'jr%~iv POVQ[GY\7fg{:;<8<m;omqibci&ofi`f!lljol`*e\7f&{ns#]@[R^@\|jt789?:>l5aosodak(adkf`#nbdmnf,g}(ulq%[BY\PB^zlv567>;i0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos234376;91ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123247X[^:9n6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4561:;l0bb|bgdl-bidkc&igg`ak/bz-va~(XG^YSOQwos2343Yelm:9m6``rlefj+`kjea$oaeboe-`|+tcp&ZEX_QM_ymq4560:k1ec\7fcheo,ehgjl'jf`abj cy,q`})WF]XTNRv`r123344f3geyajka.gnahn)ddbgdh"mw.sf{+UHSZVHTtb|?01:1f>hhzdmnb#hcbmi,gimjgm%ht#|kx.RMPWYEWqey<=>71348jjtjold%jalck.aoohic'jr%~iv povq[be;878<7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril<02=62=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj6:=3<8;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`8449:>1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTkn2>3?05?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZad4849:6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc=0=63=ig{glic ilcnh+fjlefn$ou }dy-sjqtXoj682?84nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa?0;413geyajka.gnahn)ddbgdh"mw.sf{+uhszVmh080=6:llvhabf'lgnae cminka)dp'xot"~ats]dg909:?1ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTkn28>348jjtjold%jalck.aoohic'jr%~iv povq[be;078=7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril<8<11>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kV:996``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^312>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kV;;>;5aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]2570<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniT=?<9;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[455=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR<=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ55=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR:=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ35=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR8=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ15=2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloR6=5:llvhabf'lgnae cminka)dp'xot"~ats]dgZ?512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<1<1e>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90<>12`9mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:5;:2?o4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7:6:78j7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0?568512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<0<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90?0=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54:4956``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1818512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<4<1=>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr90;0=9:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~54>4956``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z18=8512dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv=<8<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr9S=<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}4X9;30bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]247?<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q>13;8jjtjold%jalck.aoohic'jr%~iv povq[beXp;U:>?74nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|7Y6;;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx3]16==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs>R==8:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~5W=837ca}mfgm*cjedb%h`fc`d.a{*wb\7f'yd\7f~Ril_y0\17><ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTt?Q9299mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f:V=946``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z1[=4?3geyajka.gnahn)ddbgdh"mw.sf{+uhszVmhSu<P93:8jjtjold%jalck.aoohic'jr%~iv povq[beXp5:5>45aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{8469:01ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<03=6<=ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs0<<1289mkwk`mg$m`obd/bnhijb(kq$yhu!\7fnup\cfY\7f4895>55aosodak(adkf`#nbdmnf,g}(ulq%{by|Pgb]{848502dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv32?0;?kiuenoe"kbmlj-`hnkhl&is"\7fjw/qlwvZadWq682?64nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|929:11ec\7fcheo,ehgjl'jf`abj cy,q`})wf}xTknQw<4<1<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVr7:3<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}:06;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx=:=6==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUs040=7:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X8;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^31<>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT==<7;omqibci&ofi`f!lljol`*e\7f&{ns#}`{r^e`[}Y69;20bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^316==ig{glic ilcnh+fjlefn$ou }dy-sjqtXojUsS<==7:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~X:;=0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPx^113>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrT8?94nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|Z35?2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRvP6358jjtjold%jalck.aoohic'jr%~iv povq[beXpV=9;6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^z\<71<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTtR7=b:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~hz9:;<?l4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|jt789;9n6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^zlv567:;h0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPxnp34555j2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv`r12307d<ffxfkh`!fm`oo*ekcdeo#nv!rez,tkruWniTtb|?0171f>hhzdmnb#hcbmi,gimjgm%ht#|kx.rmpwY`kVrd~=>?63`8jjtjold%jalck.aoohic'jr%~iv povq[beXpfx;<=9=b:llvhabf'lgnae cminka)dp'xot"~ats]dgZ~hz9:;4?l4nnpnc`h)nehgg"mcklmg+f~)zmr$|cz}_fa\|jt78939n6``rlefj+`kjea$oaeboe-`|+tcp&zex\7fQhc^zlv5668;h0bb|bgdl-bidkc&igg`ak/bz-va~(xg~ySjmPxnp34475j2dd~`ijn/dofim(keafci!lx/pg|*vi|{UloRv`r122666<ffxfkh`!fm`oo*ekcdeo#nv!rez,phvX~hf~i;Q?_ymq4567;;1ec\7fcheo,ehgjl'jf`abj cy,q`})seyU}ma{j6^2\|jt789:9=>:4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y7Wqey<=>?_RU370=ig{glic ilcnh+fjlefn$ou }dy-wiuYqie\7fn:R>Pxnp3456Xjmn9?=5aosodak(adkf`#nbdmnf,g}(ulq%\7fa}Qyamwf2Z7Xpfx;<=><1:llvhabf'lgnae cminka)dp'xot"zbp^tbhpc1W8Usc\7f>?01304>hhzdmnb#hcbmi,gimjgm%ht#|kx.vntZpfd|o=S?Qwos2345563geyajka.gnahn)ddbgdh"mw.sf{+qkwW\7fkgyh8P2^zlv567889;7ca}mfgm*cjedb%h`fc`d.a{*wb\7f'}g{S{ocud4\7Z~hz9:;<>:4nnpnc`h)nehgg"mcklmg+f~)zmr$x`~Pv`nva3Y4Wqey<=>?_RU37==ig{glic ilcnh+fjlefn$ou xry-N|jtXxg~ySjmPxnp3456XZly~`y?<8:llvhabf'lgnae cminka)dp'}yt"Cwos]sjqtXojUsc\7f>?00]Qavsk|8937ca}mfgm*cjedb%h`fc`d.a{*rt\7f'Drd~R~ats]dgZ~hz9:;>R\jstnw56><ffxfkh`!fm`oo*ekcdeo#nv!wsz,I}iuWyd\7f~Ril_ymq4564W[oxyaz>399mkwk`mg$m`obd/bnhijb(kq$|~u!Bxnp\tkruWniTtb|?016\V`urd};846``rlefj+`kjea$oaeboe-`|+qup&Gsc\7fQ\7fnup\cfY\7fg{:;<8Q]erwop45?3geyajka.gnahn)ddbgdh"mw.vp{+H~hzVzex\7fQhc^zlv567>VXn\7fxb{12:8jjtjold%jalck.aoohic'jr%{\7fv Mymq[uhszVmhSua}0124[Wct}e~:?55aosodak(adkf`#nbdmnf,g}(pzq%Ftb|Ppovq[beXpfx;<=6PRdqvhq7402dd~`ijn/dofim(keafci!lx/uq|*K\7fg{U{by|Pgb]{kw6780UYi~{ct01;?kiuenoe"kbmlj-`hnkhl&is"z|w/LzlvZvi|{UloRv`r1224ZTb{|f\7f=>64nnpnc`h)nehgg"mcklmg+f~)\7f{r$Aua}_qlwvZadWqey<=?>_Sgpqir6;11ec\7fcheo,ehgjl'jf`abj cy,tv})JpfxT|cz}_fa\|jt7888T^h}zlu310>hhzdmnb#hcbmi,gimjgm%ht#y}x.RMPWYEW98?7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLP1378jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY68;?0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ>1378jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY6:;?0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQ>3368jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY5:=1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNR==4:llvhabf'lgnae cminka)dp'}yt"^ATS]A[1433geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHT9?:4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]561=ig{glic ilcnh+fjlefn$ou xry-SJQTXJV=986``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_907?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDX1;k0bb|bgdl-bidkc&igg`ak/bz-sw~(XG^YSOQwos23454e3geyajka.gnahn)ddbgdh"mw.vp{+UHSZVHTtb|?01226d=ig{glic ilcnh+fjlefn$ou xry-SJQTXJVrd~=>?13`8jjtjold%jalck.aoohic'jr%{\7fv POVQ[GY\7fg{:;<<?=a:llvhabf'lgnae cminka)dp'}yt"^ATS]A[}iu89:9>o5aosodak(adkf`#nbdmnf,g}(pzq%[BY\PB^zlv567:88j7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34555j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012067g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?050a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=:>2`9mkwk`mg$m`obd/bnhijb(kq$|~u!_NUP\FZ~hz9:;9?l4nnpnc`h)nehgg"mcklmg+f~)\7f{r$\CZ]_C]{kw678<;9m6``rlefj+`kjea$oaeboe-`|+qup&ZEX_QM_ymq4561:j1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r123247482dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012554YT_98i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34505:o1ec\7fcheo,ehgjl'jf`abj cy,tv})WF]XTNRv`r1232Zdcl98j7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'YD_^RLPxnp34515j2dd~`ijn/dofim(keafci!lx/uq|*VI\[UISua}012457g<ffxfkh`!fm`oo*ekcdeo#nv!wsz,TKRUWKUsc\7f>?090a?kiuenoe"kbmlj-`hnkhl&is"z|w/QLWVZDXpfx;<=6>279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:76;=0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm311<13>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k5;:2?94nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa?5785?2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo1?<>348jjtjold%jalck.aoohic'jr%{\7fv povq[be;978=7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril<3<12>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`k595>;5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb>7:70<ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWni793<9;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`8385>2dd~`ijn/dofim(keafci!lx/uq|*vi|{Ulo191279mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cf:?6;<0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjm39?06?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW98>7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_005?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadW8:9:6``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^3263=ig{glic ilcnh+fjlefn$ou xry-sjqtXojU:>?84nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\56423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS?<:;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[6423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS9<:;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[0423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS;<:;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[2423geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhS5<:;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[<4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<30?0b?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87==0=a:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~548;5>l5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{69756;k0bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3>27;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<31?0:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87>3<6;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4;;7827ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0?0;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<35?0:?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq87:3<6;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4;?7827ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0?<;4>3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu<39?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq8T<?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|7Y6:01ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^336<=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R?>289mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f:V;9>45aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{6Z74:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw2^01<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr9S><7;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}4X<;20bb|bgdl-bidkc&igg`ak/bz-sw~(xg~ySjmPx3]66==ig{glic ilcnh+fjlefn$ou xry-sjqtXojUs>R8=8:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~5W>837ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y0\<7><ffxfkh`!fm`oo*ekcdeo#nv!wsz,tkruWniTt?Q6299mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7f494956``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z?558512dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv310<1=>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr7=?0=9:llvhabf'lgnae cminka)dp'}yt"~ats]dgZ~;9:4946``rlefj+`kjea$oaeboe-`|+qup&zex\7fQhc^z?5;4?3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSu2=>3:8jjtjold%jalck.aoohic'jr%{\7fv povq[beXp595>55aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{818502dd~`ijn/dofim(keafci!lx/uq|*vi|{UloRv35?0;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWq6=2?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|919:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw<9<1<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVr753<8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}Y7:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_00;?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU:<?64nnpnc`h)nehgg"mcklmg+f~)\7f{r$|cz}_fa\|Z76:11ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_001<>hhzdmnb#hcbmi,gimjgm%ht#y}x.rmpwY`kVrT=><8;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}Y5:>1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQw_204?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqU?>:5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{[0403geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSuQ9269mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fW>8<7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_y];62=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsS4<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89:;>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw67888i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq4565:k1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23464e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}01276g=ig{glic ilcnh+fjlefn$ou xry-sjqtXojUsc\7f>?040a?kiuenoe"kbmlj-`hnkhl&is"z|w/qlwvZadWqey<=>92c9mkwk`mg$m`obd/bnhijb(kq$|~u!\7fnup\cfY\7fg{:;<:<m;omqibci&ofi`f!lljol`*e\7f&~xs#}`{r^e`[}iu89:3>o5aosodak(adkf`#nbdmnf,g}(pzq%{by|Pgb]{kw67808i7ca}mfgm*cjedb%h`fc`d.a{*rt\7f'yd\7f~Ril_ymq4577:k1ec\7fcheo,ehgjl'jf`abj cy,tv})wf}xTknQwos23544e3geyajka.gnahn)ddbgdh"mw.vp{+uhszVmhSua}013175=ig{glic ilcnh+fjlefn$ou xry-wiuYqie\7fn:R>Pxnp34564:2dd~`ijn/dofim(keafci!lx/uq|*rjxV|j`xk9_1]{kw67898:?95aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z6Xpfx;<=>PSV201>hhzdmnb#hcbmi,gimjgm%ht#y}x.vntZpfd|o=S=Qwos2345Yelm88<6``rlefj+`kjea$oaeboe-`|+qup&~f|Rxnltg5[4Y\7fg{:;<==>;omqibci&ofi`f!lljol`*e\7f&~xs#yc\7f_wcoq`0X9Vrd~=>?0013?kiuenoe"kbmlj-`hnkhl&is"z|w/uos[sgk}l<T>Rv`r123467<ffxfkh`!fm`oo*ekcdeo#nv!wsz,phvX~hf~i;Q=_ymq45679::0bb|bgdl-bidkc&igg`ak/bz-sw~(|dzTzlbze7]0[}iu89:;?95aosodak(adkf`#nbdmnf,g}(pzq%\7fa}Qyamwf2Z5Xpfx;<=>PSV22b>hhzdmnb#hcbmi,vaYci}kTob{at^k\44`<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ7582dd~`ijn/dofim(zmUomyoPcnwmpZoX998;7ca}mfgm*cjedb%yhRjnt`]`kphsW`U:=?>4nnpnc`h)nehgg"|k_ecweZeh}g~TeR?=219mkwk`mg$m`obd/sf\`drfWje~byQf_012b>hhzdmnb#hcbmi,vaYci}kTob{at^k\64`<ffxfkh`!fm`oo*tcWmk\7fmRm`uov\mZ56n2dd~`ijn/dofim(zmUomyoPcnwmpZoX<8l0bb|bgdl-bidkc&xoSio{a^alqkrXaV?:j6``rlefj+`kjea$~iQkauc\gjsi|VcT:<h4nnpnc`h)nehgg"|k_ecweZeh}g~TeR9>f:llvhabf'lgnae re]geqgXkf\7fexRgP80d8jjtjold%jalck.pg[agsiVidyczPi^;14>hhzdmnb#hcbmi,vutbefn$^LCPRE]FJ4413geyajka.gnahn)s`{gdh"ojlnejg*CJX]STecx=d:llvhabf'lgnae tipnka)fmeelen!JMQVZ[lhq9VCEZR>=b:llvhabf'lgnae tipnka)fmeelen!nllmppZcjx}sTe><4nnpnc`h)nehgg"zgrlmg+dckgnch#lbborv\ahvsqVcTc\7f>?0110?kiuenoe"kbmlj-wlwkhl&kn`bifc.coijusWlg{xtQf_np34566:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp969:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp979:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp949:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp959:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp929:m1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#no}rxlfp939;l1ec\7fcheo,ehgjl'}byabj adnlcle(kho:#h\7flarg-fla)eezP<P isu,nbltt|:h0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="k~c`qf*go`&df{W<S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nemmdmf)dil;$i|mnsd,amb(jdyQ9Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&ozol}j.ckd*hjwS:W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(mxij\7fh mif,nhu]3U'gbh#cly2`8jjtjold%jalck.vkvhic'hogcjgl/bcf5*cvkhyn"ogh.lns_0[)e`n%anw<b:llvhabf'lgnae tipnka)fmeelen!lad3,atef{l$iej blqY5Y+knl'ghu?94nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.t28585?2dd~`ijn/dofim(|axfci!nemmdmf)dil;$z<2>>358jjtjold%jalck.vkvhic'hogcjgl/bcf5*p64;49;6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0>0:71<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&|:090=7:llvhabf'lgnae tipnka)fmeelen!lad3,r4:26;=0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="x><7<1b>hhzdmnb#hcbmi,pmtjgm%jiaahib-`e`7(~8U;Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lkcofk`+fgb9&|:S<Qnne234575n2dd~`ijn/dofim(|axfci!nemmdmf)dil;$z<Q=_`lg45679;l0bb|bgdl-bidkc&~c~`ak/`gokbod'jkn="x>_2]bja6789;9j6``rlefj+`kjea$xe|boe-baii`aj%hmh? v0]7[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+dckgnch#noj1.t2[0Yffm:;<=?=f:llvhabf'lgnae tipnka)fmeelen!lad3,r4Y1Whdo<=>?13f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2?>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2>>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2=>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2<>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2;>3f8jjtjold%jalck.vkvhic'hogcjgl/bcf6*efz{seiy2:>2g8jjtjold%jalck.vkvhic'hogcjgl/bcf6*cvkhyn"ogh.lns_5[)nz~%akg}su1a?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba7)byjkxi#lfg/oot^7Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+`wdizo%ndi!mmrX6X(jam$fot=m;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-fufgtm'hbk#ccpZ1^*hoc&dir?o5aosodak(adkf`#yf}mnf,e`jho`i$olk=/ds`evc)j`m%aa~T4\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!jqbcpa+dno'gg|V;R.lkg*he~;k1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#h\7flarg-fla)eezP:P bie,ng|403geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=1>1269mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7;978<7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&iji?!y1=0=62=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'\7f;7?3<8;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5929:>1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#{?35?04?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba7)q95<5>k5aosodak(adkf`#yf}mnf,e`jho`i$olk=/w3\4Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gbdfmbo"mne3-u5Z7Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(ilfdkdm c`g1+s7X:Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&kn`bifc.aba7)q9V9Tmcj?01226c=ig{glic ilcnh+qnuefn$mhb`gha,gdc5'\7f;T8Road123444a3geyajka.gnahn)s`{gdh"ojlnejg*efm;%}=R;Paof34566:o1ec\7fcheo,ehgjl'}byabj adnlcle(kho9#{?P6^cm`567888>7ca}mfgm*cjedb%\7fd\7fc`d.cfhjank&ida}Qne006?kiuenoe"kbmlj-wlwkhl&kn`bifc.aliuYfm;8>7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&L^@AQfnw0`?kiuenoe"kbmlj-wlwkhl&km`bifc.DVHIYnf\7f;TECXP03c8jjtjold%jalck.vkvhic'hlgcjgl/`nnkvrXn|fgSd=>;omqibci&ofi`f!{hsol`*gadfmbo"ocmnqw[cskdVcTc\7f>?0111?kiuenoe"kbmlj-wlwkhl&km`bifc.coijusWo\7fg`RgPos234575l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8585l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8485l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8785l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8685l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8185l2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$ol|}yogw8084m2dd~`ijn/dofim(|axfci!nfmmdmf)dio;$i|mnsd,amb(jdyQ;Q#h|t/oemwus;k1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#h\7flarg-fla)eezP=P bie,ng|5e3geyajka.gnahn)s`{gdh"oilnejg*efn8%n}no|e/`jc+kkxR8V"`gk.laz7g=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'l{hm~k!bhe-iiv\;T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)byjkxi#lfg/oot^2Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+`wdizo%ndi!mmrX1X(jam$fot=m;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-fufgtm'hbk#ccpZ4^*hoc&dir>:5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3?4;403geyajka.gnahn)s`{gdh"oilnejg*efn8%}=1?1269mkwk`mg$m`obd/ujqijb(iofdkdm c`d2+s7;:78<7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!y1=1=62=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'\7f;783<8;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-u5939:>1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#{?36?0e?kiuenoe"kbmlj-wlwkhl&km`bifc.abb4)q9V:Tmcj?01226c=ig{glic ilcnh+qnuefn$mkb`gha,gd`6'\7f;T=Road123444a3geyajka.gnahn)s`{gdh"oilnejg*efn8%}=R<Paof34566:o1ec\7fcheo,ehgjl'}byabj agnlcle(khl:#{?P3^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.cehjank&ijj<!y1^6\ekb789::>k5aosodak(adkf`#yf}mnf,ecjho`i$olh>/w3\1Zgil9:;<<<i;omqibci&ofi`f!{hsol`*gadfmbo"mnf0-u5Z0Xign;<=>>2e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1>12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1?12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1<12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1=12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1:12e9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+fguzpdnx1;13d9mkwk`mg$m`obd/ujqijb(iofdkdm c`d1+`wdizo%ndi!mmrX4X(a{}$fjd||t2`8jjtjold%jalck.vkvhic'hlgcjgl/bce6*cvkhyn"ogh.lns_4[)e`n%anw<b:llvhabf'lgnae tipnka)fneelen!lag0,atef{l$iej blqY1Y+knl'ghu>l4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.grgdub&kcl"`b\7f[2_-ilb)ejs8n6``rlefj+`kjea$xe|boe-bbii`aj%hmk< epabw`(ean$f`}U;]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"k~c`qf*go`&df{W8S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$i|mnsd,amb(jdyQ=Q#cfd/o`}71<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&|:0=0=7:llvhabf'lgnae tipnka)fneelen!lag0,r4:66;=0bb|bgdl-bidkc&~c~`ak/`dokbod'jkm>"x><3<13>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~8682?94nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t28185?2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<2:>358jjtjold%jalck.vkvhic'hlgcjgl/bce6*p64?49j6``rlefj+`kjea$xe|boe-bbii`aj%hmk< v0]3[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+d`kgnch#noi2.t2[4Yffm:;<=?=f:llvhabf'lgnae tipnka)fneelen!lag0,r4Y5Whdo<=>?13d8jjtjold%jalck.vkvhic'hlgcjgl/bce6*p6W:Ujbi>?0131b>hhzdmnb#hcbmi,pmtjgm%jjaahib-`ec4(~8U?Sl`k012357`<ffxfkh`!fm`oo*rozdeo#lhcofk`+fga:&|:S8Qnne234575n2dd~`ijn/dofim(|axfci!nfmmdmf)dio8$z<Q9_`lg45679;?0bb|bgdl-bidkc&~c~`ak/`dokbod'jef|Roi1378jjtjold%jalck.vkvhic'hlgcjgl/bmntZga:;20bb|bgdl-bidkc&~c~`ak/dnlcle(MDZ_UR@@NSGD6g=ig{glic ilcnh+qnuefn$iaahib-FIUR^WGEE^HIPi004?kiuenoe"kbmlj-wlwkhl&ogcjgl/SCN[@KW\PUb>l5aosodak(adkf`#yf}mnf,aii`aj%h="mnrs{maq:76;k0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%hm\7f|vndv?5;4f3geyajka.gnahn)s`{gdh"kcofk`+f7(khxyuck{<3<1e>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+fguzpdnx1=12`9mkwk`mg$m`obd/ujqijb(meelen!l1.abvw\7fim}6?2?o4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!laspzj`r;=79i7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$i|mnsd,amb(jdyQ;Q#h|t/oemwus;11ec\7fcheo,ehgjl'}byabj emmdmf)d9&ozol}j.ckd*hjwS8W%adj!mb{0<>hhzdmnb#hcbmi,pmtjgm%n`bifc.a2+`wdizo%ndi!mmrX6X(jam$fot=7;omqibci&ofi`f!{hsol`*ckgnch#n? epabw`(ean$f`}U<]/oj`+kdq:20bb|bgdl-bidkc&~c~`ak/dnlcle(k8%n}no|e/`jc+kkxR>V"`gk.laz7==ig{glic ilcnh+qnuefn$iaahib-`5*cvkhyn"ogh.lns_0[)e`n%anw<8:llvhabf'lgnae tipnka)bdfmbo"m>/ds`evc)j`m%aa~T6\,nma(jkp8?7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<2?>368jjtjold%jalck.vkvhic'lfdkdm c0-u5979:=1ec\7fcheo,ehgjl'}byabj emmdmf)d9&|:0?0=4:llvhabf'lgnae tipnka)bdfmbo"m>/w3?7;433geyajka.gnahn)s`{gdh"kcofk`+f7(~86?2?:4nnpnc`h)nehgg"zgrlmg+`jho`i$o<!y1=7=61=ig{glic ilcnh+qnuefn$iaahib-`5*p64?49o6``rlefj+`kjea$xe|boe-fhjank&i:#{?P0^cm`567888h7ca}mfgm*cjedb%\7fd\7fc`d.gokbod'j;$z<Q>_`lg45679;i0bb|bgdl-bidkc&~c~`ak/dnlcle(k8%}=R<Paof34566:j1ec\7fcheo,ehgjl'}byabj emmdmf)d9&|:S>Qnne234575k2dd~`ijn/dofim(|axfci!jlnejg*e6'\7f;T8Road123444d3geyajka.gnahn)s`{gdh"kcofk`+f7(~8U>Sl`k012357e<ffxfkh`!fm`oo*rozdeo#hb`gha,g4)q9V<Tmcj?01226d=ig{glic ilcnh+qnuefn$iaahib-`6*efz{seiy2?>3c8jjtjold%jalck.vkvhic'lfdkdm c3-`ewt~fl~7=3<n;omqibci&ofi`f!{hsol`*ckgnch#n< c`pq}kcs4;49m6``rlefj+`kjea$xe|boe-fhjank&i9#no}rxlfp959:h1ec\7fcheo,ehgjl'}byabj emmdmf)d:&ij~\7fwaeu>7:7g<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)di{xrbhz35?1a?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,atef{l$iej blqY3Y+`t|'gme\7f}{399mkwk`mg$m`obd/ujqijb(meelen!l2.grgdub&kcl"`b\7f[0_-ilb)ejs846``rlefj+`kjea$xe|boe-fhjank&i9#h\7flarg-fla)eezP>P bie,ng|5?3geyajka.gnahn)s`{gdh"kcofk`+f4(mxij\7fh mif,nhu]4U'gbh#cly2:8jjtjold%jalck.vkvhic'lfdkdm c3-fufgtm'hbk#ccpZ6^*hoc&dir?55aosodak(adkf`#yf}mnf,aii`aj%h>"k~c`qf*go`&df{W8S!mhf-if\7f402dd~`ijn/dofim(|axfci!jlnejg*e5'l{hm~k!bhe-iiv\>T$fei bcx07?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4:76;>0bb|bgdl-bidkc&~c~`ak/dnlcle(k;%}=1?1259mkwk`mg$m`obd/ujqijb(meelen!l2.t28785<2dd~`ijn/dofim(|axfci!jlnejg*e5'\7f;7?3<;;omqibci&ofi`f!{hsol`*ckgnch#n< v0>7:72<ffxfkh`!fm`oo*rozdeo#hb`gha,g7)q95?5>95aosodak(adkf`#yf}mnf,aii`aj%h>"x><7<1g>hhzdmnb#hcbmi,pmtjgm%n`bifc.a1+s7X8Vkeh=>?000`?kiuenoe"kbmlj-wlwkhl&ogcjgl/b0,r4Y6Whdo<=>?13a8jjtjold%jalck.vkvhic'lfdkdm c3-u5Z4Xign;<=>>2b9mkwk`mg$m`obd/ujqijb(meelen!l2.t2[6Yffm:;<=?=c:llvhabf'lgnae tipnka)bdfmbo"m=/w3\0Zgil9:;<<<l;omqibci&ofi`f!{hsol`*ckgnch#n< v0]6[dhc89:;=?m4nnpnc`h)nehgg"zgrlmg+`jho`i$o?!y1^4\ekb789::>95aosodak(adkf`#yf}mnf,aii`aj%hc`~>otv10>hhzdmnb#hcbmi,pmtjgm%n`bifc.aliu4h}}8<7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'O_G@R@@NSGD6d=ig{glic ilcnh+qnuefn$jaahib-EQIJXFFDYIJQf1348jjtjold%jalck.vkvhic'ofdkdm R@O\BPJKW`8o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!laspzj`r;878o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!laspzj`r;978o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!laspzj`r;:78o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!laspzj`r;;78o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!laspzj`r;<78o7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!laspzj`r;=79n7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|<!jqbcpa+dno'gg|V>R.gqw*h`nzz~8n6``rlefj+`kjea$xe|boe-ehjank&ida}? epabw`(ean$f`}U>]/oj`+kdq:h0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="k~c`qf*go`&df{W?S!mhf-if\7f4j2dd~`ijn/dofim(|axfci!ilnejg*ehey;$i|mnsd,amb(jdyQ8Q#cfd/o`}6d<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&ozol}j.ckd*hjwS=W%adj!mb{0f>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(mxij\7fh mif,nhu]2U'gbh#cly2`8jjtjold%jalck.vkvhic'ofdkdm cnos5*cvkhyn"ogh.lns_3[)e`n%anw=7:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4:76;=0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x><0<13>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu7(~8692?94nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.t28685?2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<2;>358jjtjold%jalck.vkvhic'ofdkdm cnos5*p64<49;6``rlefj+`kjea$xe|boe-ehjank&ida}? v0>5:7`<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkw9&|:S=Qnne234575n2dd~`ijn/dofim(|axfci!ilnejg*ehey;$z<Q>_`lg45679;l0bb|bgdl-bidkc&~c~`ak/gnlcle(kfg{="x>_3]bja6789;9j6``rlefj+`kjea$xe|boe-ehjank&ida}? v0]0[dhc89:;=?h4nnpnc`h)nehgg"zgrlmg+cjho`i$obc\7f1.t2[1Yffm:;<=?=f:llvhabf'lgnae tipnka)adfmbo"m`mq3,r4Y2Whdo<=>?13d8jjtjold%jalck.vkvhic'ofdkdm cnos5*p6W?Ujbi>?0131`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<1<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<0<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<3<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<2<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<5<1`>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(khxyuck{<4<0a>hhzdmnb#hcbmi,pmtjgm%m`bifc.aliu4(mxij\7fh mif,nhu]7U'lxx#ciisqw7g=ig{glic ilcnh+qnuefn$jaahib-`khv5'l{hm~k!bhe-iiv\9T$fei bcx1a?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)byjkxi#lfg/oot^4Z&dco"`mv3c9mkwk`mg$m`obd/ujqijb(neelen!lolr1+`wdizo%ndi!mmrX7X(jam$fot=m;omqibci&ofi`f!{hsol`*`kgnch#nabp3-fufgtm'hbk#ccpZ6^*hoc&dir?o5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/ds`evc)j`m%aa~T5\,nma(jkp9i7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!jqbcpa+dno'gg|V8R.lkg*he~:>1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#{?30?04?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q95;5>:5aosodak(adkf`#yf}mnf,bii`aj%hc`~=/w3?6;403geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=1=1269mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7;<78<7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!y1=7=62=ig{glic ilcnh+qnuefn$jaahib-`khv5'\7f;7:3<i;omqibci&ofi`f!{hsol`*`kgnch#nabp3-u5Z6Xign;<=>>2g9mkwk`mg$m`obd/ujqijb(neelen!lolr1+s7X9Vkeh=>?000e?kiuenoe"kbmlj-wlwkhl&lgcjgl/bmnt7)q9V8Tmcj?01226c=ig{glic ilcnh+qnuefn$jaahib-`khv5'\7f;T?Road123444a3geyajka.gnahn)s`{gdh"hcofk`+fijx;%}=R:Paof34566:o1ec\7fcheo,ehgjl'}byabj fmmdmf)dgdz9#{?P5^cm`567888m7ca}mfgm*cjedb%\7fd\7fc`d.dokbod'jef|?!y1^4\ekb789::>;5aosodak(adkf`#yf}mnf,bii`aj%hc`~Pftno570<ffxfkh`!fm`oo*rozdeo#kb`gha,gjkwWo\7fg`?<?;omqibci&ofi`f!{mq]sjqtXzVnbjk2?>338jjtjold%jalck.vntZvi|{UySigif=33:77<ffxfkh`!fm`oo*rjxVzex\7fQ}_ekeb9766;;0bb|bgdl-bidkc&~f|R~ats]q[aoan5;92??4nnpnc`h)nehgg"zbp^rmpwYuWmcmj1?<>328jjtjold%jalck.vntZvi|{UySigif=3=65=ig{glic ilcnh+qkwWyd\7f~R|Pdhde878582dd~`ijn/dofim(|dzT|cz}_s]gmc`;;78;7ca}mfgm*cjedb%\7fa}Q\7fnup\vZbnno6?2?>4nnpnc`h)nehgg"zbp^rmpwYuWmcmj1;1219mkwk`mg$m`obd/uos[uhszVxThdhi<7<14>hhzdmnb#hcbmi,phvXxg~yS\7fQkigd?3;473geyajka.gnahn)seyU{by|Pr^fjbc:?6;:0bb|bgdl-bidkc&~f|R~ats]q[aoan535>=5aosodak(adkf`#yc\7f_qlwvZqXl`lm0=0=1:llvhabf'lgnae tlr\tkruW~Uoekh311<15>hhzdmnb#hcbmi,phvXxg~ySzQkigd?548592dd~`ijn/dofim(|dzT|cz}_v]gmc`;9;49=6``rlefj+`kjea$x`~Ppovq[rYcaol7=>0=0:llvhabf'lgnae tlr\tkruW~Uoekh31?03?kiuenoe"kbmlj-wiuYwf}xT{Rjffg>1:76<ffxfkh`!fm`oo*rjxVzex\7fQx_ekeb959:91ec\7fcheo,ehgjl'}g{S}`{r^u\`l`a4=49<6``rlefj+`kjea$x`~Ppovq[rYcaol793<?;omqibci&ofi`f!{mq]sjqtX\7fVnbjk29>328jjtjold%jalck.vntZvi|{U|Sigif=5=65=ig{glic ilcnh+qkwWyd\7f~RyPdhde8=8582dd~`ijn/dofim(|dzT|cz}_v]gmc`;178;7ca}mfgm*cjedb%||\7fkboe-QEHYPZVOE=<h4nnpnc`h)nehgg"y}_ecweZeh}g~TeR>>f:llvhabf'lgnae ws]geqgXkf\7fexRgP1328jjtjold%jalck.uq[agsiVidyczPi^3365=ig{glic ilcnh+rtXlh~jSnaznu]j[47582dd~`ijn/dofim(\7f{UomyoPcnwmpZoX9;8;7ca}mfgm*cjedb%|~Rjnt`]`kphsW`U:?<h4nnpnc`h)nehgg"y}_ecweZeh}g~TeR<>f:llvhabf'lgnae ws]geqgXkf\7fexRgP30d8jjtjold%jalck.uq[agsiVidyczPi^62b>hhzdmnb#hcbmi,swYci}kTob{at^k\14`<ffxfkh`!fm`oo*quWmk\7fmRm`uov\mZ06n2dd~`ijn/dofim(\7f{UomyoPcnwmpZoX?8l0bb|bgdl-bidkc&}ySio{a^alqkrXaV2:j6``rlefj+`kjea${\7fQkauc\gjsi|VcT5<94nnpnc`h)aghgg"|k_sqw[cd612dd~`ijn/kmfim(zmUy\7fyQib^22e>hhzdmnb#gabmi,vaYu{}UbbyQ?1b9mkwk`mg$bbobd/sf\vvrXag~T<R?>8:llvhabf'cenae re]qwqYumn;<7ca}mfgm*lhedb%|~R||t^da5==ig{glic fncnh+rtXzz~T~hi95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS=Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww[4Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS?Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww[6Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS9Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww[0Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYf&kcehhmPioqw[d)bff\7f\7fS;Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQn.ckm``eXagy\7fSl!jnnww[2Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7fS=Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww[4Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7fS?Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww[6Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7fS9Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww[0Yj}q:;<=?95:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| bhlgafYe&kcehhmPioqw[g)bff\7f\7fS;Qbuy234571=2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(j`doinQm.ckm``eXagy\7fSo!jnnww[2Yj}q:;<=?;a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| eoc\ewtfq5:58l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#h`n_`pqe|:66=k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&oemRo}r`{?6;2f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)bfhUj~\7fov<2<7e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,akgXi{xju1:14`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/dlb[dtuip6>29o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"kaa^cqvd\7f;>7>j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%nblQnrscz8283i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(mghTm\7f|ny=2=0d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+`heWhxymt2>>5c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.gmfZguzhs7>3:n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!jnc]bvwg~4:4?m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$iclPaspb}929<h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'ldiSl|}ax>6:1g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*cijVky~lw36?6b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-fjgYfz{kr0:0:c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q>5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P117e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^321c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\573a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_3]e2Z74=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]1[c0X9=?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V;>9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T=;;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R?85g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P197e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS?Qi6^3:1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ=_g4\60`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[762n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y59<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W;8>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z4Xn?U9?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S?::f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q=54d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_346b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR<Pf7]130`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP2^d5[7>2n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^0\b3Y51<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\6Z`1W:?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[7Ya>V9;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y5Wo<T88k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X:Vl=S8;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW;Um:R8:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV8Tj;Q85d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU9Sk8P84g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT>Rh9_87`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi54f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:14g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:117f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5036a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4311`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7270c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6513b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1432m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b071=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c36?<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`291?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=83>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<8>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<8;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?9=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>>?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9?=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8<;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;=54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:277f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5356a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf40;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g71=0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d670c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6753b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1672l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b022l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b032l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b002l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b012l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0>2l2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y7=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X9<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W8:>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U:=8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S<<:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV9Tj8Q>34d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT?Rh:_066b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]210`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[402n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y6?<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W82>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z5Xn<U:58k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X;Vl>S?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R<?5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P207e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^011c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ<_g7\663a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z43=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X:<?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V8=9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y4Wo?T>:;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW:Um9R<75g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU8Sk;P287f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS>Qi5^16b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR=Pf4]040c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP3^d6[13b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_2]e1Z32m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^1\b0Y1=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]0[c3X?<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\7Z`2W1?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[6Ya=V3>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z26=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7553e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5311g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;127a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9?;5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?=8;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=;=9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y39>?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[17?=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]75<3f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_506f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:=04`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8??:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>9?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<;>>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z25?<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\07>2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^61=0g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP427a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9=?5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU??<;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=>>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z22=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]720g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP467b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS96:a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>29n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?>h6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<;>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<;;9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?:=8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>=?;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9<=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8?;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;>54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:177f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5056a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf43;1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g72=0b<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d660c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6653b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1772m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b045=l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c35;<o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2:=?n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=;?>i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<8=9h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?9;8k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>>5;j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9?7:d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8=:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8=?5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;<14f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:44f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:54f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:64f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:74f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:84f8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:94g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_17f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^36b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]240`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[472n2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y6:<l0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\0Z`2W89>j6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z2Xn<U:88h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S<;:f:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q>64d8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_056b>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4]2<0`<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP4^d6[4?2m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^6\b0Y5=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X:9?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V8:9k5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T>?;i;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW=Um9R<<5g9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P257e?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^061c=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\633a3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_5]e1Z40=o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]7[c3X:1?m7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[1Ya=V829h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y3Wo?T?8h4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X<Vl>S>>:e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV>Tj8Q;5d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU?Sk;P54g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT8Rh:_77f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS9Qi5^56a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR:Pf4];1`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ;_g7\=0d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5026f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;>14`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9<<:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?:?8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=8>>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z36=<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1402j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7230d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP50:6f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;>94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9?;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<8;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2:8?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[043=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]6603e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_4051g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:267a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8<75c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>>4;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<9>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z348<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\1672j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7060d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP5216f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR;<44`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT9>;:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?8:8l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=:=>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z340<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\16?2i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^771g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:417a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS8:>5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>8?;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<>89o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2<??i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[020=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]60=3e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_46:1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:54`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT98::b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV?>98l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X=<<>n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z32?<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\10>2j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^76=0g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP577a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS88?5c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU>:<;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW<<99o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y2>:?j7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[012i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^7;1d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ:94c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:<;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;;9o5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y19;?i7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)Je|rThb{{_lw{[374=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5513e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7361g=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ9177a?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!Bmtz\`jssWd\7fsS;?85c9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#@czx^flqqYj}qU==5;m;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?;29l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'Dg~tRj`uu]nq}Y1:<h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*Kj}qUocxzPmtz\2762j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,Ihs\7fWme~xRczx^4150d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP6316f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L Mlw{[air|Vg~tR8=44`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:?9:b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$A`{w_emvpZkrpV<948l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&GfyuQkotv\ip~X>;3>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z04=k1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5753e3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-Nip~Xlf\7f\7fS`{w_7121d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/Lov|Zbh}}UfyuQ944c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"Cbuy]gkprXe|rT:8;n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%FaxvPdnww[hs\7fW?<>m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(Ed\7fsSiazt^ov|Z00=h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+HkrpVndyyQbuy]5<0g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.Onq}Ycg|~TaxvP686g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A116f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1127a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0220`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3361c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2432b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5463m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J476<o1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K7698>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I988?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8;88h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;:89k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D:=8:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E=<8;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B<?84d9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C?>85g8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.jbwZkrpVh%N"@>186g?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A136f?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!A1327a>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,lduXe|rTn#L N0020`=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/O3161c<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*nf{Vg~tRl!B.L2662b3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)oizUfyuQm.C-M5723m2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(`hyTaxvPb/@,J442<l1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'akxS`{w_c,A+K75>=o0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&bj\7fRczx^`-F*H6:>>n7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%cm~Qbuy]a*G)I9;2?i6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D(F8828i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;88i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;?8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;>8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;=8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;<8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;38i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G;28i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8;8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8:8h5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G8:=9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9>9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9?9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D989j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D999j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9:9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D9;9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D949j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D959j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D8<9k4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D8=<:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E??:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?>:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?9:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?8:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?;:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?5:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E?4:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8=:j;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E8<?;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9<;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9=;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B9;;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B98;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8>;e:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B8?>4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;=4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;<4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;;4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;:4e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;94e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;84e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;74e9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C;64b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/icp[hs\7fWk$I#C8;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;>;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;?;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;<;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;=;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;:;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;;;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;8;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;9;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;6;d:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B;7;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| h`q\ip~Xj'H$B::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;=:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;<:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;?:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;>:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;9:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;8:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;;:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;::k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;5:k;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E;4:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!gar]nq}Ye&K%E49j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3<9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3=9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3>9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3?9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D389j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D399j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3:9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D3;9j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D349j4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D359m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"fns^ov|Zd)J&D28i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3;8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3?8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3>8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3=8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G3<8i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G338i5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'G32985aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}g\7fe=2=10=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/rgo[uowm5;5985aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#eo|_lw{[g(E'zogS}g\7fe=0=11=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+mgtWd\7fsSo M/rgo[uowmV:>86``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$dl}Pmtz\f+D({lfT|d~j_077?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-kevYj}qUi"O!|em]smucX:=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`24969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc338483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b02?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm11>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>0=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo??<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<>36?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i==28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:<1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;:0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8;7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre986929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd695958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg764=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf47;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva54:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`25919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc328=83k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b00?4;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm13>2:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>2=0=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?=<2<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<<34?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=?2:>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:>1814b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;90:0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj88743:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9:6;29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6;5;58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg744;4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf45;;7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva56:36=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`27939<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc308383k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b01?3;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm12>;:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>4=2=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?;<0<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<:32?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=92<>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:81:14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;?080;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8>7:3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9=6<29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6<5258n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg72494?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf43;97>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva50:56=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`21959<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc368183k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b07?1;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm14>5:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>5=5=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?:<9<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<830?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=;2>>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h::1<14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;=0>0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj8<783:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre9?6>29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd6>5<58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg714>4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf40;07>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva52:76=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`23979<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc348783k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b05?7;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm16>7:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>7=7=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?8<7<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<937?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=:27>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:41>14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;30<0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj827>3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre916829m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd605>58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg7?4<4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf4>;>7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva5=:06=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`2<9>9<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc3:8583k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b0;?5;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm18>1:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl>9=1=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo?6<5<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn<735?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i=429>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h:51914b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k;2050;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;:7<3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:96:29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd585858n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg474:4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf76;<7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva65:26=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`14909<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc038283k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b32?<;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm20>3:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=1=3=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<><3<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn??33?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i><2;>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9=1;14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8:0;0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;;7;3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:86329m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5:5:58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg45484?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf74;:7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva67:46=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`16929<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc018083k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b30?2;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm23>4:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=2=:=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<<<1<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?=31?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>>2=>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9?1=14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k88090;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;9793:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre::6=29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5;5=58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg44414?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf72;87>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva61:66=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`10949<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc078683k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b36?0;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm25>6:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=4=4=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<;<6<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?:38?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>82?>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h991?14b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8>0?0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;?7?3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:<6?29m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5=5?58n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg424?4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf73;?7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva60:?6=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`12969<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc058483k2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b34?6;2d3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidUocxzm27>0:1e<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl=6=6=0f=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjWme~xo<9<4<7g>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn?836?6`?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehYcg|~i>;28>5a8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h9:1614b9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scn[air|k8<0=0;c:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj;=7=3:l;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}al]gkpre:>6929m4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd5?5958n5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7fob_emvpg404=4?o6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf71;=7>h7ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`Qkotva62:16=i0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`13919<j1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kfSiaztc048=83j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>3:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl;<0<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn92=>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h?0>0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj=6?29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd34<4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf1:16=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`78283j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b5>;:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:<1<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn82>>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h>0?0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj<6829l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd24=4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf0:26=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`68383j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b4>4:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl:<9<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;2?>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h=0<0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj?6929l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd14:4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf3:36=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`58083j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b7>5:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl9<6<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn;27>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<0=0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj>6:29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd04;4?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf2:46=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`48183j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b6>6:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl8<7<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn:28>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h<050;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16;29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd?484?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf=:56=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`;8683j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b9>7:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl7<4<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn529>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h30:0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj16329l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>494?n6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcPdnwwf<:66=h0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaRj`uu`:8783j2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhgThb{{b8>0:1d<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeVndyyl6<5<7f>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkXlf\7f\7fn42:>5`8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiZbh}}h20;0;b:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`o\`jssj06<29l4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nm^flqqd>414=56``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX4X(uid$y#{:!r`o,WAV)[@DL@HQ_RHO-WAV1i2dd~`ijn/ofigjl'kgna#lbg/`ncZoi{}%i`fQbel]dakcui}ey#{ocie,`wqt(zhggcb~T11_-vdk)z&|?"\7fob/RFS*VOIOEOT\_GB.RFS2d=ig{glic bel`oo*djmd$iaj mmf]jjvr(jeaTahcPgdlfvdrhz&|j`dj!crvq+wgjdfe{W<?R.scn*w)q<'xja"]KP/QJJBJBWYXBA#]KP7c8jjtjold%ahcmlj-ai`k)jdm%n`iPioqw+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR;9Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]8n;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]6;T$ym` }/w6-vdk([MZ%_D@HLD]SVLK)[MZ=m6``rlefj+kbekf`#ocjm/`nc+djoVce\7fy!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX51[)zhg%~"x;.scn+VBW&ZCEKAKPPSKN*VBW>h1ec\7fcheo,nahdkc&hfi` mmf,aibYnfz~$naePmdo\c`hbzh~d~"xnlhf-gvru'{kf`ba\7f[07^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V?9]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ:;P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\91W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_4?Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?30bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ3^*wgj&{%}8#|nm.QGT+UNFNFNS]\FM/QGT3g<ffxfkh`!mdoahn)eelg%n`i!ble\mkus'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V<?]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4b?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ9=P }al,q+s2)zhg$_I^!SHLDH@YWZ@G%_I^9a:llvhabf'gnaobd/cofi+djo'hfkRgasu-ahnYjmdUlick}aumq+sgkam$h\7fy| r`ookjv\:;W%~lc!r.t7*wgj'ZN["^GAGMG\TWOJ&ZN[:l5aosodak(jmdhgg"lbel,aib(eenUbb~z bmi\i`kXoldn~lz`r.tbhlb)kz~y#\7foblnms_75Z&{kf"\7f!y4/pbi*UCX'YBBJBJ_QPJI+UCX?k0bb|bgdl-i`kedb%iahc!ble-fhaXagy\7f#obd_lgn[bcim{k\7fc\7f!yamkg*fusz&xjaaa`pZ07Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0f3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U=5\,qeh(u'\7f>%~lc SER-WLH@DLU[^DC!SER5e>hhzdmnb#cjmcnh+gkbe'hfk#lbg^kmwq)edbUfi`Qheogqeqiu'\7fkgei lsup,vdkkgfzP>;S!r`o-v*p3&{kf#^J_.RKMCICXX[CF"^J_6`9mkwk`mg$fi`lck.`nah(een$iajQfnrv,fimXelgTkh`jr`vlv*pfd`n%o~z}/scnhjiwS;=V"\7fob.s-u0+tfe&YO\#]FNFNF[UTNE'YO\;o4nnpnc`h)elgi`f!mmdo-fha)jdmTec}{/cnh[hcjWnoei\7fo{os-ueioc&jy\7f~"|nmmmlt^4?U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY1Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U<]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ?Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]2U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY<27ca}mfgm*hcjjea$n`kb.cod*gk`W`dxx"lck^ofiZabflxjxb| v`nj`+et|{%ym`b`oqY5Y+tfe'x$z9 }al-P@U(TAGMGIR^]IL,P@U0>3geyajka.lgnfim(jdof"och.cod[lht|&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U8]/pbi+t(~=$ym`!\DQ,PMKAKMVZYE@ \DQ4:?kiuenoe"`kbbmi,fhcj&kgl"och_hlpp*dkcVgnaRijndpbpjt(~hfbh#m|ts-qehjhgyQ3Q#|nm/p,r1(uid%XH] \IOEOAZVUAD$XH]86;omqibci&dofnae blgn*gk`&kglSd`|t.`ooZkbeVmnbh|ntnp,rdjnl'ixx\7f!}alnlku]>U'xja#| v5,qeh)TLY$XECICE^RQMH(TLY;h7ca}mfgm*hcjjea$x`~Pr`o\vaYbf8n0bb|bgdl-i`kedb%\7fa}Q}al]q`Zci911dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<;4psmd[`kw|pU\7fd\7fk|h)33-43<x{elShc\7ftx]wlwct`!;:%<;4psmd[`kw|pU\7fd\7fk|h)31-43<x{elShc\7ftx]wlwct`!;8%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|\7fah_dosp|Ys`{oxd%8&159svjaXmdz\7fuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-42<x{elShc\7ftx]wlwct`5:5=85\7frne\ahvsqV~c~h}g<02=50=wzfmTi`~{y^vkv`uo48;5=85\7frne\ahvsqV~c~h}g<00=52=wzfmTi`~{y^vkv`uo4891<3?:;qplcZcjx}sTxe|jsi>27;733yxdkRkbpu{\pmtb{a6:2<:4psmd[`kw|pU\7fd\7fk|h=0=51=wzfmTi`~{y^vkv`uo4:4:86~}of]fiur~W}byi~f34?37?uthoVof|ywPtipfwm:268>0|\7fah_dosp|Ys`{oxd181159svjaXmdz\7fuRzgrdqk8286<2zycjQjmqvz[qnumzb743?;;qplcZcjx}sTxe|jsi>::4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(1+2f>vugnUna}zv_ujqavnXizyn~y&>)0a8twi`Wlg{xtQ{hsgplZgt{lx\7f$<>&1b9svjaXmdz\7fuRzgrdqk[dutm{~#=<'>c:rqkbYbey~rSyf}erj\evubz}":>$?l;qplcZcjx}sTxe|jsi]bwvcu|!;8%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts ;#:n6~}of]fiur~W}byi~fParqfvq.4!8h0|\7fah_dosp|Ys`{oxdRo|sdpw,1/6j2zycjQjmqvz[qnumzbTm~}jru*6-4d<x{elShc\7ftx]wlwct`Vkx\7fh|{(7+2f>vugnUna}zv_ujqavnXizyn~y&8)0`8twi`Wlg{xtQ{hsgplZgt{lx\7f$5'>b:rqkbYbey~rSyf}erj\evubz}"2%<l4psmd[`kw|pU\7fd\7fk|h^cpw`ts494:o6~}of]fiur~W}byi~fParqfvq:687;h7}|`g^gntq\7fX|axn\7feQnsrgqp97668i0|\7fah_dosp|Ys`{oxdRo|sdpw84499l1{~biPelrw}ZrozlycSl}|esv?56<768i0|\7fah_dosp|Ys`{oxdRo|sdpw84599k1{~biPelrw}ZrozlycSl}|esv?5;7e3yxdkRkbpu{\pmtb{aUj\7f~k}t=0=5g=wzfmTi`~{y^vkv`uoWhyxi\7fz33?3a?uthoVof|ywPtipfwmYf{zoyx1:11c9svjaXmdz\7fuRzgrdqk[dutm{~793?m;qplcZcjx}sTxe|jsi]bwvcu|5<5=o5\7frne\ahvsqV~c~h}g_`qpawr;?7;i7}|`g^gntq\7fX|axn\7feQnsrgqp9>99k1{~biPelrw}ZrozlycSl}|esv?=;7e3yxdkRkbpu{\pmtb{aUeijo{e)2*5g=wzfmTi`~{y^vkv`uoWgolmyk'1(3`?uthoVof|ywPtipfwmYimnk\7fi%??)0a8twi`Wlg{xtQ{hsgplZhboh~n$<?&1b9svjaXmdz\7fuRzgrdqk[kc`i}o#=?'>c:rqkbYbey~rSyf}erj\j`af|l":?$?m;qplcZcjx}sTxe|jsi]mabgsm!8"=o5\7frne\ahvsqV~c~h}g_ogdeqc/; ;i7}|`g^gntq\7fX|axn\7feQaefcwa-2.9k1{~biPelrw}ZrozlycSckhaug+1,7e3yxdkRkbpu{\pmtb{aUeijo{e)4*5g=wzfmTi`~{y^vkv`uoWgolmyk'7(3a?uthoVof|ywPtipfwmYimnk\7fi%6&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#5$?m;qplcZcjx}sTxe|jsi]mabgsm5:5=n5\7frne\ahvsqV~c~h}g_ogdeqc;994:o6~}of]fiur~W}byi~fPndebp`:697;h7}|`g^gntq\7fX|axn\7feQaefcwa97568o0|\7fah_dosp|Ys`{oxdR`jg`vf845=87;h7}|`g^gntq\7fX|axn\7feQaefcwa97468h0|\7fah_dosp|Ys`{oxdR`jg`vf8486j2zycjQjmqvz[qnumzbTbhintd>1:4d<x{elShc\7ftx]wlwct`Vdnklzj<2<2f>vugnUna}zv_ujqavnXflmjxh2;>0`8twi`Wlg{xtQ{hsgplZhboh~n080>b:rqkbYbey~rSyf}erj\j`af|l6=2<l4psmd[`kw|pU\7fd\7fk|h^lfcdrb4>4:n6~}of]fiur~W}byi~fPndebp`:?68h0|\7fah_dosp|Ys`{oxdR`jg`vf8<8>3yxdkRhzlm30?uthoVl~`aQ{hsgpl-6.9:1{~biPftno[qnumzb#=$?;;qplcZ`rdeU\7fd\7fk|h)33-42<x{elSk{cl^vkv`uo 8;"=95\7frne\bpjkW}byi~f'13+20>vugnUmyabPtipfwm.6; ;87}|`g^dvhiYs`{oxd%<&129svjaXn|fgSyf}erj+7,743yxdkRhzlm]wlwct`!>"=>5\7frne\bpjkW}byi~f'5(30?uthoVl~`aQ{hsgpl-0.9:1{~biPftno[qnumzb#;$?<;qplcZ`rdeU\7fd\7fk|h):*56=wzfmTjxbc_ujqavn/1 ;87}|`g^dvhiYs`{oxd1>1159svjaXn|fgSyf}erj?5586<2zycjQiumn\pmtb{a6:=3?;;qplcZ`rdeU\7fd\7fk|h=31:40<x{elSk{cl^vkv`uo4891<3?;;qplcZ`rdeU\7fd\7fk|h=30:45<x{elSk{cl^vkv`uo484:?6~}of]eqijX|axn\7fe2=>018twi`Wo\7fg`Rzgrdqk8686;2zycjQiumn\pmtb{a6?2<=4psmd[cskdV~c~h}g<4<27>vugnUmyabPtipfwm:16890|\7fah_gwohZrozlyc0:0>3:rqkbYa}efTxe|jsi>;:45<x{elSk{cl^vkv`uo404:m6~}of]eqijX|axn\7feQnsrgqp-6.9h1{~biPftno[qnumzbTm~}jru*2-4d<x{elSk{cl^vkv`uoWhyxi\7fz'11+2f>vugnUmyabPtipfwmYf{zoyx%?>)0`8twi`Wo\7fg`Rzgrdqk[dutm{~#=?'>b:rqkbYa}efTxe|jsi]bwvcu|!;8%<o4psmd[cskdV~c~h}g_`qpawr/: ;j7}|`g^dvhiYs`{oxdRo|sdpw,6/6i2zycjQiumn\pmtb{aUj\7f~k}t)6*5d=wzfmTjxbc_ujqavnXizyn~y&:)0c8twi`Wo\7fg`Rzgrdqk[dutm{~#:$?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts >#:m6~}of]eqijX|axn\7feQnsrgqp->.9h1{~biPftno[qnumzbTm~}jru*:-4g<x{elSk{cl^vkv`uoWhyxi\7fz30?3a?uthoVl~`aQ{hsgplZgt{lx\7f0<>11c9svjaXn|fgSyf}erj\evubz}6:=3?m;qplcZ`rdeU\7fd\7fk|h^cpw`ts4885=i5\7frne\bpjkW}byi~fParqfvq:6;3:5=o5\7frne\bpjkW}byi~fParqfvq:6;7;j7}|`g^dvhiYs`{oxdRo|sdpw8486i2zycjQiumn\pmtb{aUj\7f~k}t=0=5d=wzfmTjxbc_ujqavnXizyn~y2<>0c8twi`Wo\7fg`Rzgrdqk[dutm{~783?n;qplcZ`rdeU\7fd\7fk|h^cpw`ts4<4:m6~}of]eqijX|axn\7feQnsrgqp9099h1{~biPftno[qnumzbTm~}jru>4:4g<x{elSk{cl^vkv`uoWhyxi\7fz38?3b?uthoVl~`aQ{hsgplZgt{lx\7f040>a:rqkbYa}efTxe|jsi]mabgsm!:"=l5\7frne\bpjkW}byi~fPndebp`.6!8h0|\7fah_gwohZrozlycSckhaug+55/6j2zycjQiumn\pmtb{aUeijo{e)32-4d<x{elSk{cl^vkv`uoWgolmyk'13+2f>vugnUmyabPtipfwmYimnk\7fi%?<)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#>$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb :#:m6~}of]eqijX|axn\7feQaefcwa-2.9h1{~biPftno[qnumzbTbhintd*6-4g<x{elSk{cl^vkv`uoWgolmyk'6(3b?uthoVl~`aQ{hsgplZhboh~n$:'>a:rqkbYa}efTxe|jsi]mabgsm!2"=l5\7frne\bpjkW}byi~fPndebp`.>!8k0|\7fah_gwohZrozlycSckhaug?4;7e3yxdkRhzlm]wlwct`Vdnklzj<02=5g=wzfmTjxbc_ujqavnXflmjxh2>1?3a?uthoVl~`aQ{hsgplZhboh~n0<<11e9svjaXn|fgSyf}erj\j`af|l6:?7>11c9svjaXn|fgSyf}erj\j`af|l6:?3?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb484:m6~}of]eqijX|axn\7feQaefcwa9499h1{~biPftno[qnumzbTbhintd>0:4g<x{elSk{cl^vkv`uoWgolmyk34?3b?uthoVl~`aQ{hsgplZhboh~n080>a:rqkbYa}efTxe|jsi]mabgsm5<5=l5\7frne\bpjkW}byi~fPndebp`:068k0|\7fah_gwohZrozlycSckhaug?<;7f3yxdkRhzlm]wlwct`Vdnklzj<8<5?wbXkea:<6|k_ecweZeh}g~#<$??;sf\`drfWje~by&>)038vaYci}kTob{at)33-47<zmUomyoPcnwmp-76!8;0~iQkauc\gjsi|!;9%<?4re]geqgXkf\7fex%?<)028vaYci}kTob{at)0*55=ulVnjxlQlotlw,6/682xoSio{a^alqkr/< ;;7\7fjPd`vb[firf}">%<>4re]geqgXkf\7fex%8&119q`Zbf|hUhcx`{(6+24>tcWmk\7fmRm`uov+<,773{nThlzn_bmvjq.>!8:0~iQkauc\gjsi|5:5=<5}d^fbpdYdg|d\7f0<>1109q`Zbf|hUhcx`{<03=54=ulVnjxlQlotlw84499:1yhRjnt`]`kphs4891<3?>;sf\`drfWje~by2>3?33?wbXlh~jSnaznu>2:46<zmUomyoPcnwmp949991yhRjnt`]`kphs4:4:<6|k_ecweZeh}g~783??;sf\`drfWje~by2:>028vaYci}kTob{at=4=55=ulVnjxlQlotlw828682xoSio{a^alqkr;07;;7\7fjPd`vb[firf}62285}d^gm2>tcW{y\7f?6||t69pflrbz{>0\7f\7f}{9:wm``tadf}>7{ocie48swYfkb<0{\7fQllj33?rtXlh~jSnaznu*3-46<\7f{UomyoPcnwmp-7.981|~Rjnt`]`kphs 8:"=<5xr^fbpdYdg|d\7f$<?&109tvZbf|hUhcx`{(00*54=pzVnjxlQlotlw,45.991|~Rjnt`]`kphs ;#:<6y}_ecweZeh}g~#?$??;vp\`drfWje~by&;)028swYci}kTob{at)7*55=pzVnjxlQlotlw,3/682}ySio{a^alqkr/? ;;7z|Pd`vb[firf}"3%<>4ws]geqgXkf\7fex%7&119tvZbf|hUhcx`{<1<25>quWmk\7fmRm`uov?558692}ySio{a^alqkr;984:=6y}_ecweZeh}g~7=?0>3:uq[agsiVidycz31283:47<\7f{UomyoPcnwmp97468:0{\7fQkauc\gjsi|5;5==5xr^fbpdYdg|d\7f0?0>0:uq[agsiVidycz33?33?rtXlh~jSnaznu>7:46<\7f{UomyoPcnwmp939991|~Rjnt`]`kphs4?4:<6y}_ecweZeh}g~7;3??;vp\`drfWje~by27>028swYci}kTob{at=;=1>quWld=7z|Prrv25>Å̵´ÌµÛÁʱǽ½Ó¼¶¼b3ØÈ´ÜÁ°ØÅºËøÀÃzHIz8;?l4@Az2>C<328qX;84;158`>455>1k:6<7l1dym747=92d8=?4;;%13b?5702wX;94;158`>455>1k:6<7l1g9P5ac=<8h1<7?<27:b5?7>k;:0_::540`94?74:?2j=7?6c368`171290:6<u\748751<d2899:5o>:0;`5`=#;9n18<64vU0ge?6=93;198?tS679042=k3;8>;6n1;3:g4c<,;o=6<ji;W124?4|}8o36<5z1d;94>{#:<n1?45m40494?022:0=9vF=e29Y2<<3s;?1>;4<1;0g>x"5lo0?=;5+31f904><R::n6?u;19875=<zg:;86=44ib73>5<<gj936=4+24d9g13<f;?n6=54ob14>5<#:<l1o9;4n37f>4=<gj9=6=4+24d9g13<f;?n6?54ob16>5<#:<l1o9;4n37f>6=<gj>;6=4+24d9g13<f;?n6954ob1e>5<#:<l1o9;4n37f>0=<gj9n6=4+24d9g13<f;?n6;54ob1g>5<#:<l1o9;4n37f>2=<gj9h6=4+24d9g13<f;?n6554ob1a>5<#:<l1o9;4n37f><=<gj9j6=4+24d9g13<f;?n6l54ob1:>5<#:<l1o9;4n37f>g=<gj9?6=4+24d9g13<f;?n6n54ob10>5<#:<l1o9;4n37f>a=<aj==6=44ob7f>5<#:<l1o;l4n37f>5=<gj?o6=4+24d9g3d<f;?n6<54ob7`>5<#:<l1o;l4n37f>7=<gj?i6=4+24d9g3d<f;?n6>54ob45>5<#:<l1o;l4n37f>1=<gj<>6=4+24d9g3d<f;?n6854ob47>5<#:<l1o;l4n37f>3=<gj<86=4+24d9g3d<f;?n6:54ob41>5<#:<l1o;l4n37f>==<gj<:6=4+24d9g3d<f;?n6454ob43>5<#:<l1o;l4n37f>d=<gj?m6=4+24d9g3d<f;?n6o54ob7b>5<#:<l1o;l4n37f>f=<gj?26=4+24d9g3d<f;?n6i54o53b>5<<g=;>6=44ib7;>5<<aj?96=44o2g`>5<#:<l18=l4n37f>5=<g:oi6=4+24d905d<f;?n6<54o2g:>5<#:<l18=l4n37f>7=<g:o36=4+24d905d<f;?n6>54o2g4>5<#:<l18=l4n37f>1=<g:o=6=4+24d905d<f;?n6854o2g6>5<#:<l18=l4n37f>3=<g:o?6=4+24d905d<f;?n6:54o2g0>5<#:<l18=l4n37f>==<g:o96=4+24d905d<f;?n6454o2g2>5<#:<l18=l4n37f>d=<g:o;6=4+24d905d<f;?n6o54o2ff>5<#:<l18=l4n37f>f=<g:no6=4+24d905d<f;?n6i54o2f`>5<#:<l18=l4n37f>`=<g:ni6=4+24d905d<f;?n6k54o2fb>5<#:<l18=l4n37f>46<3f9o57>5$37e>16e3g8>i7?>;:m0`=<72-8>j7:?b:l11`<6:21d?i950;&11c<38k1e>8k51298k6b1290/>8h541`8j73b28>07b=k5;29 73a2=:i7c<:e;36?>i4n;0;6)<:f;63f>h5=l0::65`3g394?"5=o0?<o5a24g952=<g:l;6=4+24d905d<f;?n6<64;n1fb?6=,;?m69>m;o06a?7>32e8ih4?:%06b?27j2d99h4>a:9l7`b=83.99k4;0c9m60c=9k10c>kn:18'60`=<9h0b?;j:0a8?j5cn3:1(?;i:52a?k42m3;o76a<d583>!42n3>;n6`=5d82a>=h;m91<7*=5g874g=i:<o1=k54i2ca>5<#:<l1?no4n37f>5=<a:kj6=4+24d97fg<f;?n6<54i2c;>5<#:<l1?no4n37f>7=<a:k<6=4+24d97fg<f;?n6>54i2c5>5<#:<l1?no4n37f>1=<a:k>6=4+24d97fg<f;?n6854i2c7>5<#:<l1?no4n37f>3=<a:k86=4+24d97fg<f;?n6:54i2c1>5<#:<l1?no4n37f>==<a:k:6=4+24d97fg<f;?n6454i2c3>5<#:<l1?no4n37f>d=<a:3m6=4+24d97fg<f;?n6o54i2;g>5<#:<l1?no4n37f>f=<a:3h6=4+24d97fg<f;?n6i54i2;a>5<#:<l1?no4n37f>`=<a:3j6=4+24d97fg<f;?n6k54i2;:>5<#:<l1?no4n37f>46<3`9247>5$37e>6ef3g8>i7?>;:k0=2<72-8>j7=la:l11`<6:21b?4850;&11c<4kh1e>8k51298m6?2290/>8h53bc8j73b28>07d=64;29 73a2:ij7c<:e;36?>o4j80;6)<:f;1`e>h5=l0::65f3c294?"5=o08ol5a24g952=<a:km6=4+24d97fg<f;?n6<64;h1ba?6=,;?m6>mn;o06a?7>32c8mi4?:%06b?5di2d99h4>a:9j7de=83.99k4<c`9m60c=9k10e>o6:18'60`=;jk0b?;j:0a8?l5>m3:1(?;i:2ab?k42m3;o76g<9283>!42n39hm6`=5d82a>=n;081<7*=5g80gd=i:<o1=k54o237>5<<aj996=44b3g6>5<6290;wE<j3:&1`c<5m<1d>8m50;9~f4>1290:6=4?{I0f7>"5lo0:4;5`19794?=zj?21<7j::28`5~N5m:1Q:44>6z30>41=:<0:87<9:04974<5l3;36<j51482`?412:;1>84>4;0g>4>=9>0::7?::019y!4cn3>:;6*>dc82<1=#;9n18<64$376>73f3f9:?7>5;ha65?6=3`h347>5$37e>g?23g8>i7>4;h`;3?6=,;?m6o7:;o06a?7<3`h3:7>5$37e>g?23g8>i7<4;h`;1?6=,;?m6o7:;o06a?5<3`h2<7>5$37e>g?23g8>i7:4;h`;b?6=,;?m6o7:;o06a?3<3`h3i7>5$37e>g?23g8>i784;h`;`?6=,;?m6o7:;o06a?1<3`h3o7>5$37e>g?23g8>i764;h`;f?6=,;?m6o7:;o06a??<3`h3m7>5$37e>g?23g8>i7o4;h`;=?6=,;?m6o7:;o06a?d<3`h387>5$37e>g?23g8>i7m4;h`;7?6=,;?m6o7:;o06a?b<3fhom7>5;ha60?6=3fi>:7>5;h`e`?6=,;?m6n>n;o06a?6<3`hmo7>5$37e>f6f3g8>i7?4;h`ef?6=,;?m6n>n;o06a?4<3`hmm7>5$37e>f6f3g8>i7=4;ha31?6=,;?m6n>n;o06a?2<3`i;87>5$37e>f6f3g8>i7;4;ha37?6=,;?m6n>n;o06a?0<3`i;>7>5$37e>f6f3g8>i794;ha35?6=,;?m6n>n;o06a?><3`i;<7>5$37e>f6f3g8>i774;h`eb?6=,;?m6n>n;o06a?g<3`hmi7>5$37e>f6f3g8>i7l4;h`e=?6=,;?m6n>n;o06a?e<3`hm47>5$37e>f6f3g8>i7j4;ha64?6=3fh3>7>5;n`;5?6=3`9::7>5;na0<?6=,;?m6n::;o06a?6<3fi8;7>5$37e>f223g8>i7?4;na02?6=,;?m6n::;o06a?4<3fi897>5$37e>f223g8>i7=4;na74?6=,;?m6n::;o06a?2<3fi8j7>5$37e>f223g8>i7;4;na0a?6=,;?m6n::;o06a?0<3fi8h7>5$37e>f223g8>i794;na0g?6=,;?m6n::;o06a?><3fi8n7>5$37e>f223g8>i774;na0e?6=,;?m6n::;o06a?g<3fi857>5$37e>f223g8>i7l4;na00?6=,;?m6n::;o06a?e<3fi8?7>5$37e>f223g8>i7j4;h`b1?6=,;?m6ol=;o06a?6<3`hj87>5$37e>gd53g8>i7?4;h`b7?6=,;?m6ol=;o06a?4<3`hj>7>5$37e>gd53g8>i7=4;h`b`?6=,;?m6ol=;o06a?2<3`hjo7>5$37e>gd53g8>i7;4;h`bf?6=,;?m6ol=;o06a?0<3`hjm7>5$37e>gd53g8>i794;h`b=?6=,;?m6ol=;o06a?><3`hj47>5$37e>gd53g8>i774;h`b3?6=,;?m6ol=;o06a?g<3`hj:7>5$37e>gd53g8>i7l4;h`b5?6=,;?m6ol=;o06a?e<3`hj<7>5$37e>gd53g8>i7j4;ha42?6=3fi>i7>5$37e>f0e3g8>i7>4;na6`?6=,;?m6n8m;o06a?7<3fi>o7>5$37e>f0e3g8>i7<4;na6f?6=,;?m6n8m;o06a?5<3fi=:7>5$37e>f0e3g8>i7:4;na51?6=,;?m6n8m;o06a?3<3fi=87>5$37e>f0e3g8>i784;na57?6=,;?m6n8m;o06a?1<3fi=>7>5$37e>f0e3g8>i764;na55?6=,;?m6n8m;o06a??<3fi=<7>5$37e>f0e3g8>i7o4;na6b?6=,;?m6n8m;o06a?d<3fi>m7>5$37e>f0e3g8>i7m4;na6=?6=,;?m6n8m;o06a?b<3f>:m7>5;n621?6=3`i>47>5;h`f4?6=,;?m6okk;o06a?6<3`hoj7>5$37e>gcc3g8>i7?4;h`ga?6=,;?m6okk;o06a?4<3`hoh7>5$37e>gcc3g8>i7=4;h`f<?6=,;?m6okk;o06a?2<3`hn;7>5$37e>gcc3g8>i7;4;h`f2?6=,;?m6okk;o06a?0<3`hn97>5$37e>gcc3g8>i794;h`f0?6=,;?m6okk;o06a?><3`hn?7>5$37e>gcc3g8>i774;h`f6?6=,;?m6okk;o06a?g<3`hn=7>5$37e>gcc3g8>i7l4;h`gg?6=,;?m6okk;o06a?e<3`hon7>5$37e>gcc3g8>i7j4;h123?6=3`i<;7>5;na67?6=3fi>;7>5;na61?6=3`i>>7>5;n1fg?6=,;?m69>m;o06a?6<3f9nn7>5$37e>16e3g8>i7?4;n1f=?6=,;?m69>m;o06a?4<3f9n47>5$37e>16e3g8>i7=4;n1f3?6=,;?m69>m;o06a?2<3f9n:7>5$37e>16e3g8>i7;4;n1f1?6=,;?m69>m;o06a?0<3f9n87>5$37e>16e3g8>i794;n1f7?6=,;?m69>m;o06a?><3f9n>7>5$37e>16e3g8>i774;n1f5?6=,;?m69>m;o06a?g<3f9n<7>5$37e>16e3g8>i7l4;n1ga?6=,;?m69>m;o06a?e<3f9oh7>5$37e>16e3g8>i7j4;n1gg?6=,;?m69>m;o06a?c<3f9on7>5$37e>16e3g8>i7h4;n1ge?6=,;?m69>m;o06a?7732e8h44?:%06b?27j2d99h4>1:9l7a>=83.99k4;0c9m60c=9;10c>j8:18'60`=<9h0b?;j:018?j5c>3:1(?;i:52a?k42m3;?76a<d483>!42n3>;n6`=5d821>=h;o81<7*=5g874g=i:<o1=;54o2d2>5<#:<l18=l4n37f>41<3f9m<7>5$37e>16e3g8>i7?7;:m0ac<72-8>j7:?b:l11`<6121d?hk50;&11c<38k1e>8k51`98k6cc290/>8h541`8j73b28h07b=ja;29 73a2=:i7c<:e;3`?>i4lo0;6)<:f;63f>h5=l0:h65`3e694?"5=o0?<o5a24g95`=<g:n86=4+24d905d<f;?n6<h4;h1bf?6=,;?m6>mn;o06a?6<3`9jm7>5$37e>6ef3g8>i7?4;h1b<?6=,;?m6>mn;o06a?4<3`9j;7>5$37e>6ef3g8>i7=4;h1b2?6=,;?m6>mn;o06a?2<3`9j97>5$37e>6ef3g8>i7;4;h1b0?6=,;?m6>mn;o06a?0<3`9j?7>5$37e>6ef3g8>i794;h1b6?6=,;?m6>mn;o06a?><3`9j=7>5$37e>6ef3g8>i774;h1b4?6=,;?m6>mn;o06a?g<3`92j7>5$37e>6ef3g8>i7l4;h1:`?6=,;?m6>mn;o06a?e<3`92o7>5$37e>6ef3g8>i7j4;h1:f?6=,;?m6>mn;o06a?c<3`92m7>5$37e>6ef3g8>i7h4;h1:=?6=,;?m6>mn;o06a?7732c8554?:%06b?5di2d99h4>1:9j7<1=83.99k4<c`9m60c=9;10e>79:18'60`=;jk0b?;j:018?l5>=3:1(?;i:2ab?k42m3;?76g<9583>!42n39hm6`=5d821>=n;k;1<7*=5g80gd=i:<o1=;54i2`3>5<#:<l1?no4n37f>41<3`9jj7>5$37e>6ef3g8>i7?7;:k0e`<72-8>j7=la:l11`<6121b?lj50;&11c<4kh1e>8k51`98m6gd290/>8h53bc8j73b28h07d=n9;29 73a2:ij7c<:e;3`?>o41l0;6)<:f;1`e>h5=l0:h65f38194?"5=o08ol5a24g95`=<a:396=4+24d97fg<f;?n6<h4;n120?6=3`9:97>5;ha2e?6=,;?m6n<8;o06a?6<3`i:57>5$37e>f403g8>i7?4;ha2<?6=,;?m6n<8;o06a?4<3`i:;7>5$37e>f403g8>i7=4;ha16?6=,;?m6n<8;o06a?2<3`i9=7>5$37e>f403g8>i7;4;ha14?6=,;?m6n<8;o06a?0<3`i:j7>5$37e>f403g8>i794;ha2a?6=,;?m6n<8;o06a?><3`i:h7>5$37e>f403g8>i774;ha2g?6=,;?m6n<8;o06a?g<3`i:n7>5$37e>f403g8>i7l4;ha22?6=,;?m6n<8;o06a?e<3`i:97>5$37e>f403g8>i7j4;n12`?6=,;?m6><8;o06a?6<3f9:o7>5$37e>6403g8>i7?4;n12f?6=,;?m6><8;o06a?4<3f9:m7>5$37e>6403g8>i7=4;n111?6=,;?m6><8;o06a?2<3f9987>5$37e>6403g8>i7;4;n117?6=,;?m6><8;o06a?0<3f99>7>5$37e>6403g8>i794;n115?6=,;?m6><8;o06a?><3f99<7>5$37e>6403g8>i774;n12b?6=,;?m6><8;o06a?g<3f9:i7>5$37e>6403g8>i7l4;n12=?6=,;?m6><8;o06a?e<3f9:47>5$37e>6403g8>i7j4;n11<?6=3`hh>7>5$37e>gea3g8>i7>4;h``5?6=,;?m6omi;o06a?7<3`hh<7>5$37e>gea3g8>i7<4;h`ab?6=,;?m6omi;o06a?5<3`hhm7>5$37e>gea3g8>i7:4;h``=?6=,;?m6omi;o06a?3<3`hh47>5$37e>gea3g8>i784;h``3?6=,;?m6omi;o06a?1<3`hh:7>5$37e>gea3g8>i764;h``1?6=,;?m6omi;o06a??<3`hh87>5$37e>gea3g8>i7o4;h``7?6=,;?m6omi;o06a?d<3`hii7>5$37e>gea3g8>i7m4;h`a`?6=,;?m6omi;o06a?b<3`i8>7>5;c13f?6=93:1<v*=dg82<3=O;9k0D?k<;n3;1?6=3th9i=4?:062>1712=liwE<j3:&1`c<3901Q:44>3z00>72=9l0:j7<?:0c95f<593;26?<53182f?c=:j0:>7?>:029b?b=u-i<57m88:m4=?6=3f=36=44i3f2>5<<g?<1<75f2e494?=h?>0;66a8a;29?j4a>3:17b?87;29?l57?3:17b<j1;29?j022900e?m>:188k73e2900c?k;:188k4>42900c?8>:188m7`02900c?6i:188k61>290/>8h536:8j73b2910c>98:18'60`=;>20b?;j:098k611290/>8h536:8j73b2;10c>9::18'60`=;>20b?;j:298k6>5290/>8h536:8j73b2=10c>6>:18'60`=;>20b?;j:498k6>7290/>8h536:8j73b2?10c>9i:18'60`=;>20b?;j:698k61b290/>8h536:8j73b2110c>9k:18'60`=;>20b?;j:898k61d290/>8h536:8j73b2h10c>9m:18'60`=;>20b?;j:c98k61f290/>8h536:8j73b2j10c>9;:18'60`=;>20b?;j:e98k6>?290/>8h53958j73b2910c>69:18'60`=;1=0b?;j:098k6>2290/>8h53958j73b2;10c>6;:18'60`=;1=0b?;j:298k6?6290/>8h53958j73b2=10c>7?:18'60`=;1=0b?;j:498k6>a290/>8h53958j73b2?10c>6j:18'60`=;1=0b?;j:698k6>c290/>8h53958j73b2110c>6l:18'60`=;1=0b?;j:898k6>e290/>8h53958j73b2h10c>6n:18'60`=;1=0b?;j:c98k6>>290/>8h53958j73b2j10c>6<:18'60`=;1=0b?;j:e98k64b290/>8h533f8j73b2910c><l:18'60`=;;n0b?;j:098k64e290/>8h533f8j73b2;10c><n:18'60`=;;n0b?;j:298k650290/>8h533f8j73b2=10c>=9:18'60`=;;n0b?;j:498k652290/>8h533f8j73b2?10c>=;:18'60`=;;n0b?;j:698k654290/>8h533f8j73b2110c>==:18'60`=;;n0b?;j:898k656290/>8h533f8j73b2h10c>=?:18'60`=;;n0b?;j:c98k64a290/>8h533f8j73b2j10c><6:18'60`=;;n0b?;j:e98k65c290/>8h532a8j73b2910c>=m:18'60`=;:i0b?;j:098k65f290/>8h532a8j73b2;10c>=6:18'60`=;:i0b?;j:298k621290/>8h532a8j73b2=10c>:::18'60`=;:i0b?;j:498k623290/>8h532a8j73b2?10c>:<:18'60`=;:i0b?;j:698k625290/>8h532a8j73b2110c>:>:18'60`=;:i0b?;j:898k627290/>8h532a8j73b2h10c>=i:18'60`=;:i0b?;j:c98k65b290/>8h532a8j73b2j10c>=7:18'60`=;:i0b?;j:e98k62d290/>8h535`8j73b2910c>:n:18'60`=;=h0b?;j:098k62>290/>8h535`8j73b2;10c>:7:18'60`=;=h0b?;j:298k632290/>8h535`8j73b2=10c>;;:18'60`=;=h0b?;j:498k634290/>8h535`8j73b2?10c>;=:18'60`=;=h0b?;j:698k636290/>8h535`8j73b2110c>;?:18'60`=;=h0b?;j:898k62a290/>8h535`8j73b2h10c>:j:18'60`=;=h0b?;j:c98k62c290/>8h535`8j73b2j10c>:8:18'60`=;=h0b?;j:e98k=`=83.99k47e:l11`<732e3h7>5$37e>=c<f;?n6<54o9a94?"5=o03i6`=5d81?>i?j3:1(?;i:9g8j73b2:10c4650;&11c<?m2d99h4;;:m:3?6=,;?m65k4n37f>0=<g0<1<7*=5g8;a>h5=l0=76a65;29 73a21o0b?;j:698k<2=83.99k47e:l11`<?32e2?7>5$37e>=c<f;?n6454o8094?"5=o03i6`=5d8b?>i>93:1(?;i:9g8j73b2k10c4>50;&11c<?m2d99h4l;:m;e?6=,;?m65k4n37f>a=<g;lo6=4+24d96ce<f;?n6=54o3da>5<#:<l1>km4n37f>4=<g;lj6=4+24d96ce<f;?n6?54o3d:>5<#:<l1>km4n37f>6=<g::=6=4+24d96ce<f;?n6954o226>5<#:<l1>km4n37f>0=<g::?6=4+24d96ce<f;?n6;54o220>5<#:<l1>km4n37f>2=<g::96=4+24d96ce<f;?n6554o222>5<#:<l1>km4n37f><=<g::;6=4+24d96ce<f;?n6l54o3de>5<#:<l1>km4n37f>g=<g;ln6=4+24d96ce<f;?n6n54o3d;>5<#:<l1>km4n37f>a=<g:?i6=4+24d970g<f;?n6=54o27:>5<#:<l1?8o4n37f>4=<g:?36=4+24d970g<f;?n6?54o274>5<#:<l1?8o4n37f>6=<g:<?6=4+24d970g<f;?n6954o240>5<#:<l1?8o4n37f>0=<g:<96=4+24d970g<f;?n6;54o242>5<#:<l1?8o4n37f>2=<g:<;6=4+24d970g<f;?n6554o27e>5<#:<l1?8o4n37f><=<g:?n6=4+24d970g<f;?n6l54o27g>5<#:<l1?8o4n37f>g=<g:?h6=4+24d970g<f;?n6n54o275>5<#:<l1?8o4n37f>a=<g1:1<7*=5g84b>h5=l0;76a8e;29 73a2>l0b?;j:098k2b=83.99k48f:l11`<532e<o7>5$37e>2`<f;?n6>54o9;94?"5=o0<j6`=5d87?>i?03:1(?;i:6d8j73b2<10c5950;&11c<0n2d99h49;:m;2?6=,;?m6:h4n37f>2=<g1?1<7*=5g84b>h5=l0376a74;29 73a2>l0b?;j:898k=5=83.99k48f:l11`<f32e3>7>5$37e>2`<f;?n6o54o9394?"5=o0<j6`=5d8`?>i0j3:1(?;i:6d8j73b2m10c>8n:18'60`=;?30b?;j:198k60?290/>8h537;8j73b2810c>88:18'60`=;?30b?;j:398k601290/>8h537;8j73b2:10c>9<:18'60`=;?30b?;j:598k615290/>8h537;8j73b2<10c>9>:18'60`=;?30b?;j:798k617290/>8h537;8j73b2>10c>8i:18'60`=;?30b?;j:998k60b290/>8h537;8j73b2010c>8k:18'60`=;?30b?;j:`98k60d290/>8h537;8j73b2k10c>8m:18'60`=;?30b?;j:b98k602290/>8h537;8j73b2m10c<8j:18'60`=9?n0b?;j:198k40d290/>8h517f8j73b2810c<8n:18'60`=9?n0b?;j:398k40>290/>8h517f8j73b2:10c<87:18'60`=9?n0b?;j:598k400290/>8h517f8j73b2<10c<89:18'60`=9?n0b?;j:798k402290/>8h517f8j73b2>10c<8;:18'60`=9?n0b?;j:998k404290/>8h517f8j73b2010c<8=:18'60`=9?n0b?;j:`98k406290/>8h517f8j73b2k10c<;i:18'60`=9?n0b?;j:b98k43b290/>8h517f8j73b2m10c<;k:18'60`=9?n0b?;j:d98k43d290/>8h517f8j73b2o10c<;m:18'60`=9?n0b?;j:028?j72i3:1(?;i:04g?k42m3;:76a>5883>!42n3;=h6`=5d826>=h9<21<7*=5g822a=i:<o1=>54o074>5<#:<l1=;j4n37f>42<3f;>:7>5$37e>40c3g8>i7?:;:m230<72-8>j7?9d:l11`<6>21d=::50;&11c<6>m1e>8k51698k414290/>8h517f8j73b28207b?82;29 73a28<o7c<:e;3:?>i6?80;6)<:f;35`>h5=l0:m65`16294?"5=o0::i5a24g95g=<g8<m6=4+24d953b<f;?n6<m4;n35f?6=,;?m6<8k;o06a?7c32e::=4?:%06b?71l2d99h4>e:9l503=83.99k4>6e9m60c=9o10c?m8:18'60`=:j<0b?;j:198k7e2290/>8h52b48j73b2810c?m;:18'60`=:j<0b?;j:398k7e4290/>8h52b48j73b2:10c?j?:18'60`=:j<0b?;j:598k7ea290/>8h52b48j73b2<10c?mj:18'60`=:j<0b?;j:798k7ec290/>8h52b48j73b2>10c?ml:18'60`=:j<0b?;j:998k7ee290/>8h52b48j73b2010c?mn:18'60`=:j<0b?;j:`98k7e>290/>8h52b48j73b2k10c?m7:18'60`=:j<0b?;j:b98k7e5290/>8h52b48j73b2m10e?99:18'60`=:>?0b?;j:198m713290/>8h52678j73b2810e?9<:18'60`=:>?0b?;j:398m715290/>8h52678j73b2:10e?9i:18'60`=:>?0b?;j:598m71b290/>8h52678j73b2<10e?9k:18'60`=:>?0b?;j:798m71d290/>8h52678j73b2>10e?9m:18'60`=:>?0b?;j:998m71f290/>8h52678j73b2010e?96:18'60`=:>?0b?;j:`98m71?290/>8h52678j73b2k10e?98:18'60`=:>?0b?;j:b98m716290/>8h52678j73b2m10ek;50;&11c<a<2d99h4?;:ke7?6=,;?m6k:4n37f>4=<ao;1<7*=5g8e0>h5=l0976gi0;29 73a2o>0b?;j:298m``=83.99k4i4:l11`<332cni7>5$37e>c2<f;?n6854idf94?"5=o0m86`=5d85?>obk3:1(?;i:g68j73b2>10ehl50;&11c<a<2d99h47;:kfe?6=,;?m6k:4n37f><=<al31<7*=5g8e0>h5=l0j76gj8;29 73a2o>0b?;j:c98m`0=83.99k4i4:l11`<d32cn97>5$37e>c2<f;?n6i54id694?"5=o0m86`=5d8f?>ob;3:1(?;i:g68j73b2o10eh<50;&11c<a<2d99h4>0:9ja4<72-8>j7h;;o06a?7632cn<7>5$37e>c2<f;?n6<<4;hfe>5<#:<l1j95a24g956=<amo1<7*=5g8e0>h5=l0:865fde83>!42n3l?7c<:e;36?>oak3:1(?;i:g68j73b28<07dhm:18'60`=n=1e>8k51698mcg=83.99k4i4:l11`<6021bj44?:%06b?`33g8>i7?6;:ke<?6=,;?m6k:4n37f>4g<3`l<6=4+24d9b1=i:<o1=o54ig494?"5=o0m86`=5d82g>=nn;0;6)<:f;d7?k42m3;o76gj7;29 73a2o>0b?;j:0g8?lbd290/>8h5f59m60c=9o10e?l<:18'60`=:k80b?;j:198m7d6290/>8h52c08j73b2810e?l?:18'60`=:k80b?;j:398m7ga290/>8h52c08j73b2:10e?ll:18'60`=:k80b?;j:598m7de290/>8h52c08j73b2<10e?ln:18'60`=:k80b?;j:798m7d>290/>8h52c08j73b2>10e?l7:18'60`=:k80b?;j:998m7d0290/>8h52c08j73b2010e?l9:18'60`=:k80b?;j:`98m7d2290/>8h52c08j73b2k10e?l;:18'60`=:k80b?;j:b98m7gb290/>8h52c08j73b2m10e?o;:18'60`=:h90b?;j:198m7g5290/>8h52`18j73b2810e?o>:18'60`=:h90b?;j:398m7g7290/>8h52`18j73b2:10e?ok:18'60`=:h90b?;j:598m7gd290/>8h52`18j73b2<10e?om:18'60`=:h90b?;j:798m7gf290/>8h52`18j73b2>10e?o6:18'60`=:h90b?;j:998m7g?290/>8h52`18j73b2010e?o8:18'60`=:h90b?;j:`98m7g1290/>8h52`18j73b2k10e?o::18'60`=:h90b?;j:b98m7?a290/>8h52`18j73b2m10e?7::18'60`=:0>0b?;j:198m7?4290/>8h52868j73b2810e?7=:18'60`=:0>0b?;j:398m7?6290/>8h52868j73b2:10e?7j:18'60`=:0>0b?;j:598m7?c290/>8h52868j73b2<10e?7l:18'60`=:0>0b?;j:798m7?e290/>8h52868j73b2>10e?7n:18'60`=:0>0b?;j:998m7?>290/>8h52868j73b2010e?77:18'60`=:0>0b?;j:`98m7?0290/>8h52868j73b2k10e?79:18'60`=:0>0b?;j:b98m7?7290/>8h52868j73b2m10e?6::18'60`=:1>0b?;j:198m7>4290/>8h52968j73b2810e?6=:18'60`=:1>0b?;j:398m7>6290/>8h52968j73b2:10e?6j:18'60`=:1>0b?;j:598m7>c290/>8h52968j73b2<10e?6l:18'60`=:1>0b?;j:798m7>e290/>8h52968j73b2>10e?6n:18'60`=:1>0b?;j:998m7>>290/>8h52968j73b2010e?67:18'60`=:1>0b?;j:`98m7>0290/>8h52968j73b2k10e?69:18'60`=:1>0b?;j:b98m7>7290/>8h52968j73b2m10e?88:18'60`=:?<0b?;j:198m702290/>8h52748j73b2810e?8;:18'60`=:?<0b?;j:398m704290/>8h52748j73b2:10e?9?:18'60`=:?<0b?;j:598m70a290/>8h52748j73b2<10e?8j:18'60`=:?<0b?;j:798m70c290/>8h52748j73b2>10e?8l:18'60`=:?<0b?;j:998m70e290/>8h52748j73b2010e?8n:18'60`=:?<0b?;j:`98m70>290/>8h52748j73b2k10e?87:18'60`=:?<0b?;j:b98m705290/>8h52748j73b2m10n>>m:182>5<7s-8oj7?76:J04d=O:l90c<6::188yg57k3:1=7>50z&1`c<5m<1C?=o4H3g0?j42k3:17pl7e583>1<729q/>ih51928L66f3A8n?6F97:&2=1<53`<j6=44i6494?=n:?:1<75`2cf94?=zj1o36=4::183\7f!4cn3;3=6F<0`9K6`5<@?=0(<7;:39j2d<722c=j7>5;h55>5<<a;<;6=44o3`g>5<<uk2n;7>54;294~"5lo0:4=5G31c8L7c43A<<7)?64;08m3g=831b;;4?::k125<722e9ni4?::\7fa<`0=83?1<7>t$3fe>4>63A9;m6F=e29K22=#90>1>6g9a;29?l0a2900e:850;9j636=831d>oj50;9~f361290>6=4?{%0gb?7?92B8<l5G2d18 4?32k1b:l4?::k5b?6=3`==6=44i343>5<<g;ho6=44}c433?6==3:1<v*=dg82<4=O;9k0D?k<;%3:0?d<a?k1<75f6g83>>o0>3:17d<90;29?j4el3:17pl90b83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th=<i4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd18l0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`54c<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl91183>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th==<4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd19;0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`556<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl90983>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th=<o4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd1800;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`54d<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:c383>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;l9;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn8k>:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f0ee290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa1`4=8391<7>t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi9nj50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th>i>4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`6gc<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c7f0?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk?o=7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj<o>6=4<:183\7f!4cn39;56F<0`9K6`5<a>:1<75f1ea94?=h:<31<75rb4f0>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm5d494?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e=m?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd2m>0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:d683>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;j8;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg3c13:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f0c>29086=4?{%0gb?5712B8<l5G2d18m26=831b=im50;9l60?=831vn8m<:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi9im50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::\7fa1f3=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`6`a<72:0;6=u+2ed975?<@::j7E<j3:k44?6=3`;oo7>5;n06=?6=3th>o:4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk?oi7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c7gb?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk?i87>55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg3e=3:197>50z&1`c<6081C?=o4H3g0?!7><3h0e;o50;9j2c<722c<:7>5;h054?6=3f8ih7>5;|`6fd<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:bc83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>nn4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd2jm0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`6f`<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:bg83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>o=4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd2k80;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`6f3<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:b883>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>n:4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd2j10;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`63`<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c7;1?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb4;g>5<4290;w)<kf;13=>N48h1C>h=4i6294?=n9mi1<75`24;94?=zj<2<6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e=0o1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm59;94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl:9g83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd20k0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg3f83:1?7>50z&1`c<4801C?=o4H3g0?l172900e<jl:188k73>2900qo;7d;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn8o>:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f0>a290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa1d4=8391<7>t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi94?50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th>m>4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`6=6<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c7b0?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk?297>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj<k>6=4<:183\7f!4cn39;56F<0`9K6`5<a>:1<75f1ea94?=h:<31<75rb45e>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm58:94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e=1;1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd2100;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:8283>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;6a;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg3>j3:1?7>50z&1`c<4801C?=o4H3g0?l172900e<jl:188k73>2900qo:j8;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<<uk>n57>55;294~"5lo0:4<5G31c8L7c43-;287l4i7c94?=n>o0;66g86;29?l4183:17b<md;29?xd3ml0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7ac<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;f183>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?j<4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd3n;0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7b6<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;f583>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?j84?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd3mh0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7aa<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;ec83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?in4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd3i=0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg2fj3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f1e429086=4?{%0gb?5712B8<l5G2d18m26=831b=im50;9l60?=831vn9ok:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi8n:50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::\7fa0d`=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`7g0<72:0;6=u+2ed975?<@::j7E<j3:k44?6=3`;oo7>5;n06=?6=3th?n<4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk>h:7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c6a7?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb5a4>5<4290;w)<kf;13=>N48h1C>h=4i6294?=n9mi1<75`24;94?=zj=h>6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e<j21<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm4c594?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl;c883>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd3j00;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg2di3:1?7>50z&1`c<4801C?=o4H3g0?l172900e<jl:188k73>2900qo:mb;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn9mm:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f1g2290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa0gc=8391<7>t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi8l950;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th?nk4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`7e<<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c6`4?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk>h=7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c744?6==3:1<v*=dg82<4=O;9k0D?k<;%3:0?d<a?k1<75f6g83>>o0>3:17d<90;29?j4el3:17pl:7083>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>;;4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd2?>0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`63=<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:7883>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>;l4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd2?k0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`63f<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:7e83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>;?4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd2?<0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`636<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl:7583>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th>?l4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk??=7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj<?26=4<:183\7f!4cn39;56F<0`9K6`5<a>:1<75f1ea94?=h:<31<75rb460>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm54c94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e==?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd2=k0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:4683>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;:c;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg3313:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f03c29086=4?{%0gb?5712B8<l5G2d18m26=831b=im50;9l60?=831vn8:m:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi98k50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::\7fa11b=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`61c<72:0;6=u+2ed975?<@::j7E<j3:k44?6=3`;oo7>5;n06=?6=3th>8k4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk?=<7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c765?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb442>5<4290;w)<kf;13=>N48h1C>h=4i6294?=n9mi1<75`24;94?=zj<9i6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e=<>1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm52f94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl:5483>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd2;o0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg32>3:1?7>50z&1`c<4801C?=o4H3g0?l172900e<jl:188k73>2900qo;:7;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg2>>3:197>50z&1`c<6081C?=o4H3g0?!7><3h0e;o50;9j2c<722c<:7>5;h054?6=3f8ih7>5;|`7=2<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;9b83>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?5i4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd31l0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7=c<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;a183>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?m<4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd3i;0;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7e6<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;9983>0<729q/>ih516g8L66f3A8n?6*>9581?l0f2900e;h50;9j36<722c<:7>5;n0a`?6=3th?5o4?:483>5}#:ml1=:k4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7283>>o0>3:17b<md;29?xd3100;684?:1y'6a`=9>o0D>>n;I0f7>"61=097d8n:188m3`=831b;>4?::k42?6=3f8ih7>5;|`7=d<72<0;6=u+2ed952c<@::j7E<j3:&2=1<53`<j6=44i7d94?=n?:0;66g86;29?j4el3:17pl;6183>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo:97;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn99i:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f10>290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa0=6=8391<7>t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi8;l50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th?4<4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`72a<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c6;6?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk>=j7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj=286=4<:183\7f!4cn39;56F<0`9K6`5<a>:1<75f1ea94?=h:<31<75rb552>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm49694?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e<>91<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd30<0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl;7483>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo:76;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg20?3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f1>029086=4?{%0gb?5712B8<l5G2d18m26=831b=im50;9l60?=831vn98>:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi8:o50;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::\7fa035=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`73g<72:0;6=u+2ed975?<@::j7E<j3:k44?6=3`;oo7>5;n06=?6=3th?:84?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk><o7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c64`?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk?9o7>55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg35l3:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c<?7>5;h55>5<<g;ho6=44}c706?6==3:1<v*=dg823`=O;9k0D?k<;%3:0?4<a?k1<75f6g83>>o0;3:17d99:188k7dc2900qo;<3;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<<uk?887>55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg34=3:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c<?7>5;h55>5<<g;ho6=44}c702?6==3:1<v*=dg823`=O;9k0D?k<;%3:0?4<a?k1<75f6g83>>o0;3:17d99:188k7dc2900qo;<7;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<<uk?847>55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg3413:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c<?7>5;h55>5<<g;ho6=44}c71a?6==3:1<v*=dg823`=O;9k0D?k<;%3:0?4<a?k1<75f6g83>>o0;3:17d99:188k7dc2900qo;=f;291?6=8r.9hk4>7d9K75g<@;o87)?64;08m3g=831b:k4?::k47?6=3`==6=44o3`g>5<<uk?8<7>55;294~"5lo0:;h5G31c8L7c43-;287<4i7c94?=n>o0;66g83;29?l112900c?lk:188yg3493:197>50z&1`c<6?l1C?=o4H3g0?!7><380e;o50;9j2c<722c<?7>5;h55>5<<g;ho6=44}c6e2?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb5dg>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm50794?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e<ol1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd29?0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl:0083>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo;>7;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg37;3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f07?29086=4?{%0gb?5712B8<l5G2d18m26=831b=im50;9l60?=831vn8>::187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi9<750;194?6|,;nm6>>6;I13e>N5m:1b;=4?::k2`f<722e9944?::\7fa151=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`65d<72:0;6=u+2ed975?<@::j7E<j3:k44?6=3`;oo7>5;n06=?6=3th><44?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk?:n7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c73f?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb43`>5<4290;w)<kf;13=>N48h1C>h=4i6294?=n9mi1<75`24;94?=zj<:o6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e=8n1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm4g594?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl:1183>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd3n00;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg3693:1?7>50z&1`c<4801C?=o4H3g0?l172900e<jl:188k73>2900qo:ib;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn8?=:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f07429086=4?{%0gb?5712B8<l5G2d18m26=831b=im50;9l60?=831vn9;=:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<a><1<75`2cf94?=zj=?86=4::183\7f!4cn3;<i6F<0`9K6`5<,83?6?5f6`83>>o1n3:17d9<:188m20=831d>oj50;9~f13?290>6=4?{%0gb?70m2B8<l5G2d18 4?32;1b:l4?::k5b?6=3`=86=44i6494?=h:kn1<75rb57:>5<2290;w)<kf;34a>N48h1C>h=4$0;7>7=n>h0;66g9f;29?l142900e:850;9l6gb=831vn9;n:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<a><1<75`2cf94?=zj=?i6=4::183\7f!4cn3;<i6F<0`9K6`5<,83?6?5f6`83>>o1n3:17d9<:188m20=831d>oj50;9~f13d290>6=4?{%0gb?70m2B8<l5G2d18 4?32;1b:l4?::k5b?6=3`=86=44i6494?=h:kn1<75rb57g>5<2290;w)<kf;34a>N48h1C>h=4$0;7>7=n>h0;66g9f;29?l142900e:850;9l6gb=831vn9;j:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<a><1<75`2cf94?=zj=?m6=4::183\7f!4cn3;<i6F<0`9K6`5<,83?6?5f6`83>>o1n3:17d9<:188m20=831d>oj50;9~f133290>6=4?{%0gb?70m2B8<l5G2d18 4?32;1b:l4?::k5b?6=3`=86=44i6494?=h:kn1<75rb576>5<2290;w)<kf;34a>N48h1C>h=4$0;7>7=n>h0;66g9f;29?l142900e:850;9l6gb=831vn9;9:186>5<7s-8oj7?8e:J04d=O:l90(<7;:39j2d<722c=j7>5;h50>5<<a><1<75`2cf94?=zj=?<6=4::183\7f!4cn3;<i6F<0`9K6`5<,83?6?5f6`83>>o1n3:17d9<:188m20=831d>oj50;9~f17d290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa075=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`77g<72:0;6=u+2ed975?<@::j7E<j3:k44?6=3`;oo7>5;n06=?6=3th?>84?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk>8o7>53;294~"5lo08<45G31c8L7c43`=;6=44i0f`>5<<g;?26=44}c613?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb51g>5<4290;w)<kf;13=>N48h1C>h=4i6294?=n9mi1<75`24;94?=zj=826=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e<:o1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm43`94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl;3g83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd3:m0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg2383:1?7>50z&1`c<4801C?=o4H3g0?l172900e<jl:188k73>2900qo:=f;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn9:>:180>5<7s-8oj7=?9:J04d=O:l90e:>50;9j5ae=831d>8750;9~f156290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa014=8391<7>t$3fe>66>3A9;m6F=e29j35<722c:hn4?::m11<<722wi8>=50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th?8>4?:283>5}#:ml1?=74H22b?M4b;2c<<7>5;h3gg?6=3f8>57>5;|`75a<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c602?6=;3:1<v*=dg804<=O;9k0D?k<;h53>5<<a8nh6=44o37:>5<<uk>:j7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj=9<6=4<:183\7f!4cn39;56F<0`9K6`5<a>:1<75f1ea94?=h:<31<75rb502>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm42:94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e<:31<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm85c94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl74c83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo6;c;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn5:k:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi49k50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th38k4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk2><7>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj1<>6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e0?<1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd?>>0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg>103:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f=0>290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa<3g=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`;2g<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c:77?6=<3:1<v*=dg82<5=O;9k0D?k<;%3:0?d<a?k1<75f7783>>o5>90;66a=be83>>{e01?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd?0?0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg>??3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f=>?290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa<=?=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`;<d<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c:;f?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb9c3>5<3290;w)<kf;3f1>N48h1C>h=4i6294?=n100;66g=d683>>i5=00;66sm8`394?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl7a383>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo6n3;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn5o;:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi4l;50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th3m;4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk2<i7>54;294~"5lo0:4=5G31c8L7c43-;287<4i7c94?=n??0;66g=6183>>i5jm0;66sm7e094?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl8d283>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo9k4;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn:j::187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi;i850;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th<h:4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk=o47>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj>oo6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e?lo1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd0mo0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg1a83:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f2`6290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa3c4=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`4b6<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c5`=?6==3:1<v*=dg82<4=O;9k0D?k<;%3:0?d<a?k1<75f6g83>>o0>3:17d<90;29?j4el3:17pl70g83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo6>0;290?6=8r.9hk4>e49K75g<@;o87d9?:188m<?=831b>i950;9l60?=831vn5?>:187>5<7s-8oj7?j5:J04d=O:l90e:>50;9j=<<722c9h:4?::m11<<722wi4<<50;694?6|,;nm6<k:;I13e>N5m:1b;=4?::k:=?6=3`8o;7>5;n06=?6=3th3=>4?:583>5}#:ml1=h;4H22b?M4b;2c<<7>5;h;:>5<<a;n<6=44o37:>5<<uk2:87>54;294~"5lo0:i85G31c8L7c43`=;6=44i8;94?=n:m=1<75`24;94?=zj1;>6=4;:183\7f!4cn3;n96F<0`9K6`5<a>:1<75f9883>>o5l>0;66a=5883>>{e0;k1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd?:k0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg>5k3:187>50z&1`c<6m<1C?=o4H3g0?l172900e4750;9j6a1=831d>8750;9~f=4c290?6=4?{%0gb?7b=2B8<l5G2d18m26=831b544?::k1`2<722e9944?::\7fa<7c=83>1<7>t$3fe>4c23A9;m6F=e29j35<722c257>5;h0g3?6=3f8>57>5;|`;6c<72=0;6=u+2ed95`3<@::j7E<j3:k44?6=3`326=44i3f4>5<<g;?26=44}c:04?6=<3:1<v*=dg82a0=O;9k0D?k<;h53>5<<a031<75f2e594?=h:<31<75rb925>5<2290;w)<kf;3;5>N48h1C>h=4$0;7>7=n>h0;66g9f;29?l112900e?8?:188k7dc2900qo864;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`5=6<72=0;6=u+2ed952b<@::j7E<j3:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e>081<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;7>:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c4:g?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd11k0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi:4o50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb7;:>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0>03:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th=5:4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm68494?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3?2290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk<2<7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl98g83>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa2`7=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj?o;6=4;:183\7f!4cn3;<h6F<0`9K6`5<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo8kf;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`5``<72=0;6=u+2ed952b<@::j7E<j3:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e>l31<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;k7:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c4f3?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd1m?0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi:h;50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb7g7>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0b;3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th=i?4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm6ef94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3bd290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk<mh7>54;294~"5lo0:;i5G31c8L7c43A<<7)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`5bf<72=0;6=u+2ed952b<@::j7E<j3:J53>"61=097d8n:188m25=831b;;4?::m1fa<722wi:kl50;694?6|,;nm6<9k;I13e>N5m:1C::5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3`f290?6=4?{%0gb?70l2B8<l5G2d18L31<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo9?5;290?6=8r.9hk4>7e9K75g<@;o87E88;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd08=0;694?:1y'6a`=9>n0D>>n;I0f7>N1?2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm71194?2=83:p(?ji:05g?M57i2B9i>5G669'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj>:96=4;:183\7f!4cn3;<h6F<0`9K6`5<@?=0(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c535?6=<3:1<v*=dg823a=O;9k0D?k<;I44?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<<=4?:583>5}#:ml1=:j4H22b?M4b;2B=;6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa2c`=83>1<7>t$3fe>41c3A9;m6F=e29K22=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;hj:187>5<7s-8oj7?8d:J04d=O:l90D;94$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0a13:187>50z&1`c<6?m1C?=o4H3g0?M003-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl9f983>1<729q/>ih516f8L66f3A8n?6F97:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e>j?1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn;m;:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c4`7?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd1k;0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi:nj50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb7a`>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg0dj3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th=ol4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm6b;94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f3e?290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk<h;7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl9c783>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa2f7=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj?i;6=4;:183\7f!4cn3;<h6F<0`9K6`5<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo9=f;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`46`<72=0;6=u+2ed952b<@::j7E<j3:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e?;n1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:<l:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c503?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd0;?0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;>;50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb617>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg14;3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<??4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm72394?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f257290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk=9n7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl82`83>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa32e=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj>=i6=4;:183\7f!4cn3;<h6F<0`9K6`5<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo98a;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`43<<72=0;6=u+2ed952b<@::j7E<j3:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e?1>1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:6<:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c5;6?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd0080;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;5>50;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb65e>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg10m3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<;i4?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm76:94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f210290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk=247>54;294~"5lo0:;i5G31c8L7c43A<<7)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`4=2<72=0;6=u+2ed952b<@::j7E<j3:J53>"61=097d8n:188m25=831b;;4?::m1fa<722wi;4850;694?6|,;nm6<9k;I13e>N5m:1C::5+18696>o1i3:17d9<:188m20=831d>oj50;9~f2?2290?6=4?{%0gb?70l2B8<l5G2d18L31<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo9n0;290?6=8r.9hk4>7e9K75g<@;o87E88;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd01o0;694?:1y'6a`=9>n0D>>n;I0f7>N1?2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm78g94?2=83:p(?ji:05g?M57i2B9i>5G669'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj>3o6=4;:183\7f!4cn3;<h6F<0`9K6`5<@?=0(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c5:g?6=<3:1<v*=dg823a=O;9k0D?k<;I44?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<5o4?:583>5}#:ml1=:j4H22b?M4b;2B=;6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa3<g=83>1<7>t$3fe>41c3A9;m6F=e29K22=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:76:187>5<7s-8oj7?8d:J04d=O:l90D;94$0;7>7=n>h0;66g83;29?l112900c?lk:188yg1><3:187>50z&1`c<6?m1C?=o4H3g0?M003-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl89283>1<729q/>ih516f8L66f3A8n?6F97:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e??:1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:;i:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c56a?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd0=m0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;;650;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb644>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg11>3:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th<:84?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm77694?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f204290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk==>7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl86083>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa30e=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj>?i6=4;:183\7f!4cn3;<h6F<0`9K6`5<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo8<3;297?6=8r.9hk4<089K75g<@;o87d9?:188m4bd2900c?;6:188yg05=3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;;0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91683>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=4;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93083>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19?0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05;3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;90;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91483>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=2;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93b83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd1:90;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05m3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;k0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91g83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=d;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93`83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19l0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05k3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;00;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91e83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=b;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93983>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19j0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05i3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;>0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91c83>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=9;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93783>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd19h0;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg0503:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1;<0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91883>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=7;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl93583>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd1910;694?:1y'6a`=9l?0D>>n;I0f7>o083:17d76:188m7b02900c?;6:188yg05>3:1?7>50z&1`c<6m81C?=o4H3g0?!7><3>:7d?78;29?l7?13:17b<:9;29?xd1:o0;6>4?:1y'6a`=;930D>>n;I0f7>o083:17d?kc;29?j4213:17pl91583>1<729q/>ih51d78L66f3A8n?6g80;29?l?>2900e?j8:188k73>2900qo8=1;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl95b83>6<729q/>ih531;8L66f3A8n?6g80;29?l7ck3:17b<:9;29?xd1<l0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e><h1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65294?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl94e83>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm64c94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>:l1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1<j0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e><31<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm62g94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl94c83>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm67794?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=31<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=>0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>?>1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65:94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl95783>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm67194?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>==1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=<0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>?81<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65494?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl95583>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm67394?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=?1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=:0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e>?:1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65694?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl95383>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm64d94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=91<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1=80;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e><o1<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm65094?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl95183>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm64f94?5=83:p(?ji:22:?M57i2B9i>5f7183>>o6lj0;66a=5883>>{e>=;1<7:50;2x 7ba28o>7E=?a:J1a6=n?90;66g69;29?l4c?3:17b<:9;29?xd1<o0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=0?=6g>8983>>o6000;66a=5883>>{e><21<7=50;2x 7ba2::27E=?a:J1a6=n?90;66g>db83>>i5=00;66sm62f94?2=83:p(?ji:0g6?M57i2B9i>5f7183>>o>13:17d<k7;29?j4213:17pl94`83>6<729q/>ih51d38L66f3A8n?6*>95875>o6010;66g>8883>>i5=00;66sm7cf94?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f2dd290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk=in7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl8b`83>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa3f3=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj>i?6=4;:183\7f!4cn3;<h6F<0`9K6`5<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo9l3;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`4g7<72=0;6=u+2ed952b<@::j7E<j3:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e?j;1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn:m?:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c5ab?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd0jl0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi;o750;694?6|,;nm6<9k;I13e>N5m:1/=4:52:k5e?6=3`=86=44i6494?=h:kn1<75rb6`;>5<3290;w)<kf;34`>N48h1C>h=4$0;7>7=n>h0;66g83;29?l112900c?lk:188yg>c13:187>50z&1`c<6?m1C?=o4H3g0?!7><380e;o50;9j36<722c<:7>5;n0a`?6=3th3h54?:583>5}#:ml1=:j4H22b?M4b;2.:594=;h4b>5<<a>91<75f7783>>i5jm0;66sm8e594?2=83:p(?ji:05g?M57i2B9i>5+18696>o1i3:17d9<:188m20=831d>oj50;9~f=b1290?6=4?{%0gb?70l2B8<l5G2d18 4?32;1b:l4?::k47?6=3`==6=44o3`g>5<<uk2n=7>54;294~"5lo0:;i5G31c8L7c43-;287<4i7c94?=n?:0;66g86;29?j4el3:17pl7e183>1<729q/>ih516f8L66f3A8n?6*>9581?l0f2900e:=50;9j33<722e9ni4?::\7fa<a`=83>1<7>t$3fe>41c3A9;m6F=e29'5<2=:2c=m7>5;h50>5<<a><1<75`2cf94?=zj1nn6=4;:183\7f!4cn3;<h6F<0`9K6`5<,83?6?5f6`83>>o0;3:17d99:188k7dc2900qo6kd;290?6=8r.9hk4>7e9K75g<@;o87)?64;08m3g=831b;>4?::k42?6=3f8ih7>5;|`;`f<72=0;6=u+2ed952b<@::j7E<j3:&2=1<53`<j6=44i6194?=n??0;66a=be83>>{e0mh1<7:50;2x 7ba28=o7E=?a:J1a6=#90>1>6g9a;29?l142900e:850;9l6gb=831vn5jn:187>5<7s-8oj7?8d:J04d=O:l90(<7;:39j2d<722c<?7>5;h55>5<<g;ho6=44}c:g1?6=<3:1<v*=dg823a=O;9k0D?k<;%3:0?4<a?k1<75f7283>>o0>3:17b<md;29?xd?l=0;694?:1y'6a`=9>n0D>>n;I0f7>"61=097d8n:188m25=831b;;4?::m1fa<722wi5?750;694?6|,;nm6<k9;I13e>N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn46<:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7?9;290?6=8r.9hk4>e69K75g<@;o87d?78;29?l7?13:17d<k7;29?j4213:17pl64c83>1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9`794?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb82`>5<3290;w)<kf;3f3>N48h1C>h=4i0:;>5<<a8226=44i3f4>5<<g;?26=44}c;63?6=<3:1<v*=dg82a3=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`:f4<72=0;6=u+2ed95`0<@::j7E<j3:k2<=<722c:444?::k1`2<722e9944?::\7fa=4>=83>1<7>t$3fe>4c03A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<3f290?6=4?{%0gb?7b>2B8<l5G2d18m4>?2900e<66:188m7b02900c?;6:188yg?e<3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>9k0;694?:1y'6a`=9l=0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1<h1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0h>6=4;:183\7f!4cn3;n:6F<0`9K6`5<a8236=44i0::>5<<a;n<6=44o37:>5<<uk3:o7>54;294~"5lo0:i:5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3thj444?:583>5}#:ml1=:h4H22b?M4b;2.:594=;h4b>5<<a?l1<75f7783>>i5jm0;66sma9:94?2=83:p(?ji:05e?M57i2B9i>5+18696>o1i3:17d8i:188m20=831d>oj50;9~fd>0290?6=4?{%0gb?70n2B8<l5G2d18 4?32;1b:l4?::k5b?6=3`==6=44o3`g>5<<uk=857>53;294~"5lo0:i<5G31c8L7c43-;287=n;h3;<?6=3`;357>5;n06=?6=3th=5h4?:283>5}#:ml1=h?4H22b?M4b;2.:594<a:k2<=<722c:444?::m11<<722wi;?750;194?6|,;nm6<k>;I13e>N5m:1/=4:53`9j5=>=831b=5750;9l60?=831vn:<7:180>5<7s-8oj7?j1:J04d=O:l90(<7;:2c8m4>?2900e<66:188k73>2900qo9=7;297?6=8r.9hk4>e09K75g<@;o87)?64;1b?l7?03:17d?79;29?j4213:17pl82783>6<729q/>ih51d38L66f3A8n?6*>9580e>o6010;66g>8883>>i5=00;66sm73794?5=83:p(?ji:0g2?M57i2B9i>5+18697d=n9121<75f19;94?=h:<31<75rb607>5<4290;w)<kf;3f5>N48h1C>h=4$0;7>6g<a8236=44i0::>5<<g;?26=44}c517?6=;3:1<v*=dg82a4=O;9k0D?k<;%3:0?5f3`;347>5;h3;=?6=3f8>57>5;|`467<72:0;6=u+2ed95`7<@::j7E<j3:&2=1<4i2c:454?::k2<<<722e9944?::\7fa377=8391<7>t$3fe>4c63A9;m6F=e29'5<2=;h1b=5650;9j5=?=831d>8750;9~f24729086=4?{%0gb?7b92B8<l5G2d18 4?32:k0e<67:188m4>>2900c?;6:188yg16n3:1?7>50z&1`c<6m81C?=o4H3g0?!7><39j7d?78;29?l7?13:17b<:9;29?xd09l0;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=08m6g>8983>>o6000;66a=5883>>{e?8n1<7=50;2x 7ba28o:7E=?a:J1a6=#90>1?l5f19:94?=n9131<75`24;94?=zj?2n6=4<:183\7f!4cn3;n=6F<0`9K6`5<,83?6>o4i0:;>5<<a8226=44o37:>5<<uk<3h7>53;294~"5lo0:i<5G31c8L7c43-;287=n;h3;<?6=3`;357>5;n06=?6=3th=4n4?:283>5}#:ml1=h?4H22b?M4b;2.:594<a:k2<=<722c:444?::m11<<722wi:5l50;194?6|,;nm6<k>;I13e>N5m:1/=4:53`9j5=>=831b=5750;9l60?=831vn;6n:180>5<7s-8oj7?j1:J04d=O:l90(<7;:2c8m4>?2900e<66:188k73>2900qo879;297?6=8r.9hk4>e09K75g<@;o87)?64;1b?l7?03:17d?79;29?j4213:17pl98983>6<729q/>ih51d38L66f3A8n?6*>9580e>o6010;66g>8883>>i5=00;66sm69594?5=83:p(?ji:0g2?M57i2B9i>5+18697d=n9121<75f19;94?=h:<31<75rb7:5>5<4290;w)<kf;3f5>N48h1C>h=4$0;7>6g<a8236=44i0::>5<<g;?26=44}c4;1?6=;3:1<v*=dg82a4=O;9k0D?k<;%3:0?5f3`;347>5;h3;=?6=3f8>57>5;|`5<1<72:0;6=u+2ed95`7<@::j7E<j3:&2=1<4i2c:454?::k2<<<722e9944?::\7fa2=5=8391<7>t$3fe>4c63A9;m6F=e29'5<2=;h1b=5650;9j5=?=831d>8750;9~f3>529086=4?{%0gb?7b92B8<l5G2d18 4?32:k0e<67:188m4>>2900c?;6:188yg14j3:187>50z&1`c<6m;1C?=o4H3g0?!7><3>87d?78;29?l7?13:17d?7a;29?j4213:17pl9a183>1<729q/>ih51d08L66f3A8n?6*>95877>o6010;66g>8883>>o60h0;66a=5883>>{e?:n1<7;50;2x 7ba28o87E=?a:J1a6=#90>1?n5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj?k96=4::183\7f!4cn3;n?6F<0`9K6`5<,83?6>m4i0:;>5<<a8226=44i0:b>5<<a82i6=44o37:>5<<uk=8j7>53;294~"5lo0:i<5G31c8L7c43-;287=n;h3;<?6=3`;357>5;n06=?6=3th=m94?:283>5}#:ml1=h?4H22b?M4b;2.:594<a:k2<=<722c:444?::m11<<722wi;9?50;694?6|,;nm6<k=;I13e>N5m:1/=4:5429j5=>=831b=5750;9j5=g=831d>8750;9~f3g1290?6=4?{%0gb?7b:2B8<l5G2d18 4?32=90e<67:188m4>>2900e<6n:188k73>2900qo9;3;291?6=8r.9hk4>e29K75g<@;o87)?64;1`?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl9a983>0<729q/>ih51d18L66f3A8n?6*>9580g>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm8e194?5=83:p(?ji:0g2?M57i2B9i>5+18696<=n9121<75f19;94?=h:<31<75rb6`4>5<4290;w)<kf;3f5>N48h1C>h=4$0;7>6g<a8236=44i0::>5<<g;?26=44}c5a2?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?233`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`45f<72:0;6=u+2ed95`7<@::j7E<j3:&2=1<4i2c:454?::k2<<<722e9944?::\7fa34d=83?1<7>t$3fe>4c43A9;m6F=e29'5<2=<=1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=d3290>6=4?{%0gb?7b;2B8<l5G2d18 4?32=80e<67:188m4>>2900e<6n:188m4>e2900c?;6:188yg>>13:197>50z&1`c<6m:1C?=o4H3g0?!7><3>97d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd??00;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e0<o1<7;50;2x 7ba28o87E=?a:J1a6=#90>18?5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj19n6=4::183\7f!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<<a8226=44i0:b>5<<a82i6=44o37:>5<<uk29?7>55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;<?6=3`;357>5;h3;e?6=3`;3n7>5;n06=?6=3th3<<4?:483>5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2<d<722c:4o4?::m11<<722wi;h850;794?6|,;nm6<k<;I13e>N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn5l<:186>5<7s-8oj7?j3:J04d=O:l90(<7;:508m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo668;291?6=8r.9hk4>e29K75g<@;o87)?64;61?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl77983>0<729q/>ih51d18L66f3A8n?6*>95876>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm84f94?3=83:p(?ji:0g0?M57i2B9i>5+186905=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb91g>5<2290;w)<kf;3f7>N48h1C>h=4$0;7>14<a8236=44i0::>5<<a82j6=44i0:a>5<<g;?26=44}c:16?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?253`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;45<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<3:2c:454?::k2<<<722c:4l4?::k2<g<722e9944?::\7fa3`3=83?1<7>t$3fe>4c43A9;m6F=e29'5<2=<;1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=d5290>6=4?{%0gb?7b;2B8<l5G2d18 4?32=80e<67:188m4>>2900e<6n:188m4>e2900c?;6:188yg>>?3:197>50z&1`c<6m:1C?=o4H3g0?!7><3>97d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd??>0;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e0<i1<7;50;2x 7ba28o87E=?a:J1a6=#90>18=5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj19h6=4::183\7f!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<<a8226=44i0:b>5<<a82i6=44o37:>5<<uk29=7>55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;<?6=3`;357>5;h3;e?6=3`;3n7>5;n06=?6=3th<jk4?:483>5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2<d<722c:4o4?::m11<<722wi;h:50;794?6|,;nm6<k<;I13e>N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn5l>:186>5<7s-8oj7?j3:J04d=O:l90(<7;:508m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo666;291?6=8r.9hk4>e29K75g<@;o87)?64;61?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl77783>0<729q/>ih51d18L66f3A8n?6*>95876>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm84`94?3=83:p(?ji:0g0?M57i2B9i>5+186905=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb91a>5<2290;w)<kf;3f7>N48h1C>h=4$0;7>14<a8236=44i0::>5<<a82j6=44i0:a>5<<g;?26=44}c:14?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?253`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`4b`<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<3:2c:454?::k2<<<722c:4l4?::k2<g<722e9944?::\7fa3`5=83?1<7>t$3fe>4c43A9;m6F=e29'5<2=<;1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=d7290>6=4?{%0gb?7b;2B8<l5G2d18 4?32=80e<67:188m4>>2900e<6n:188m4>e2900c?;6:188yg>>=3:197>50z&1`c<6m:1C?=o4H3g0?!7><3>97d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd??<0;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e0<k1<7;50;2x 7ba28o87E=?a:J1a6=#90>18=5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj19j6=4::183\7f!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<<a8226=44i0:b>5<<a82i6=44o37:>5<<uk2:j7>55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;<?6=3`;357>5;h3;e?6=3`;3n7>5;n06=?6=3th<ji4?:483>5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2<d<722c:4o4?::m11<<722wi;h<50;794?6|,;nm6<k<;I13e>N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn::8:180>5<7s-8oj7?j1:J04d=O:l90(<7;:538m4>?2900e<66:188k73>2900qo8nc;297?6=8r.9hk4>e09K75g<@;o87)?64;62?l7?03:17d?79;29?j4213:17pl84`83>1<729q/>ih51d08L66f3A8n?6*>9580f>o6010;66g>8883>>o60h0;66a=5883>>{e>hl1<7:50;2x 7ba28o97E=?a:J1a6=#90>1?o5f19:94?=n9131<75f19c94?=h:<31<75rb66`>5<2290;w)<kf;3f7>N48h1C>h=4$0;7>12<a8236=44i0::>5<<a82j6=44i0:a>5<<g;?26=44}c4a5?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?233`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;ec<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<3:2c:454?::k2<<<722c:4l4?::k2<g<722e9944?::\7fa<<2=83?1<7>t$3fe>4c43A9;m6F=e29'5<2=<;1b=5650;9j5=?=831b=5o50;9j5=d=831d>8750;9~f=13290>6=4?{%0gb?7b;2B8<l5G2d18 4?32=80e<67:188m4>>2900e<6n:188m4>e2900c?;6:188yg>213:197>50z&1`c<6m:1C?=o4H3g0?!7><3>;7d?78;29?l7?13:17d?7a;29?l7?j3:17b<:9;29?xd?;00;684?:1y'6a`=9l90D>>n;I0f7>"61=0?>6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e08o1<7;50;2x 7ba28o87E=?a:J1a6=#90>18?5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj>lh6=4::183\7f!4cn3;n?6F<0`9K6`5<,83?69<4i0:;>5<<a8226=44i0:b>5<<a82i6=44o37:>5<<uk=n=7>55;294~"5lo0:i>5G31c8L7c43-;287:=;h3;<?6=3`;357>5;h3;e?6=3`;3n7>5;n06=?6=3th3mh4?:483>5}#:ml1=h=4H22b?M4b;2.:594;2:k2<=<722c:444?::k2<d<722c:4o4?::m11<<722wi44=50;794?6|,;nm6<k<;I13e>N5m:1/=4:5439j5=>=831b=5750;9j5=g=831b=5l50;9l60?=831vn59<:186>5<7s-8oj7?j3:J04d=O:l90(<7;:508m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo6:8;291?6=8r.9hk4>e29K75g<@;o87)?64;1e?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl73983>0<729q/>ih51d18L66f3A8n?6*>95876>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm80f94?3=83:p(?ji:0g0?M57i2B9i>5+186907=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb6da>5<2290;w)<kf;3f7>N48h1C>h=4$0;7>14<a8236=44i0::>5<<a82j6=44i0:a>5<<g;?26=44}c5f4?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?253`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b5<72=0;6=u+2ed95`4<@::j7E<j3:&2=1<4l2c:454?::k2<<<722c:4l4?::m11<<722wi4hh50;694?6|,;nm6<k=;I13e>N5m:1/=4:5339j5=>=831b=5750;9j5=g=831d>8750;9~f=cb290?6=4?{%0gb?7b:2B8<l5G2d18 4?32:80e<67:188m4>>2900e<6n:188k73>2900qo6jd;290?6=8r.9hk4>e39K75g<@;o87)?64;:8m4>?2900e<66:188m4>f2900c?;6:188yg>bk3:187>50z&1`c<6m;1C?=o4H3g0?!7><3997d?78;29?l7?13:17d?7a;29?j4213:17pl7ec83>1<729q/>ih51d08L66f3A8n?6*>958;?l7?03:17d?79;29?l7?i3:17b<:9;29?xd?mh0;694?:1y'6a`=9l80D>>n;I0f7>"61=037d?78;29?l7?13:17d?7a;29?j4213:17pl7e883>1<729q/>ih51d08L66f3A8n?6*>9585?l7?03:17d?79;29?l7?i3:17b<:9;29?xd0j90;6>4?:1y'6a`=9l;0D>>n;I0f7>"61=08m6g>8983>>o6000;66a=5883>>{e?ho1<7;50;2x 7ba28o87E=?a:J1a6=#90>1?n5f19:94?=n9131<75f19c94?=n91h1<75`24;94?=zj>;>6=4<:183\7f!4cn3;n=6F<0`9K6`5<,83?6>o4i0:;>5<<a8226=44o37:>5<<uk=:?7>55;294~"5lo0:i>5G31c8L7c43-;287=l;h3;<?6=3`;357>5;h3;e?6=3`;3n7>5;n06=?6=3th<n84?:583>5}#:ml1=h<4H22b?M4b;2.:594;3:k2<=<722c:444?::k2<d<722e9944?::\7fa34g=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=<:1b=5650;9j5=?=831b=5o50;9l60?=831vn:l<:186>5<7s-8oj7?j3:J04d=O:l90(<7;:2a8m4>?2900e<66:188m4>f2900e<6m:188k73>2900qo9;5;291?6=8r.9hk4>e29K75g<@;o87)?64;67?l7?03:17d?79;29?l7?i3:17d?7b;29?j4213:17pl81983>0<729q/>ih51d18L66f3A8n?6*>9580g>o6010;66g>8883>>o60h0;66g>8c83>>i5=00;66sm6`c94?3=83:p(?ji:0g0?M57i2B9i>5+186901=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb6a5>5<4290;w)<kf;3f5>N48h1C>h=4$0;7>7?<a8236=44i0::>5<<g;?26=44}c:e<?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?5b3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b2<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<f3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b3<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<f3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b0<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<>3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b1<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<f3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b6<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<23`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b7<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<>3`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`;b4<72<0;6=u+2ed95`5<@::j7E<j3:&2=1<03`;347>5;h3;=?6=3`;3m7>5;h3;f?6=3f8>57>5;|`6gd<72;0;6=u+2ed95`6<@::j7E<j3:&2=1<512c:454?::m11<<722wi9nm50;094?6|,;nm6<k?;I13e>N5m:1/=4:5289j5=>=831d>8750;9~f0eb29096=4?{%0gb?7b82B8<l5G2d18 4?32;30e<67:188k73>2900qo;k0;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd2l;0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm5e694?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj<n=6=4=:183\7f!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<<g;?26=44}c7g<?6=:3:1<v*=dg82a5=O;9k0D?k<;%3:0?4>3`;347>5;n06=?6=3th>hl4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::\7fa1f2=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn8m9:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg3d03:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl:8783>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e=121<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb4:b>5<5290;w)<kf;3f4>N48h1C>h=4$0;7>7?<a8236=44o37:>5<<uk?3o7>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;<?6=3f8>57>5;|`6<`<72;0;6=u+2ed95`6<@::j7E<j3:&2=1<512c:454?::m11<<722wi94>50;094?6|,;nm6<k?;I13e>N5m:1/=4:5289j5=>=831d>8750;9~f0?529096=4?{%0gb?7b82B8<l5G2d18 4?32;30e<67:188k73>2900qo;64;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd21?0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm59294?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj<296=4=:183\7f!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<<g;?26=44}c7;0?6=:3:1<v*=dg82a5=O;9k0D?k<;%3:0?4>3`;347>5;n06=?6=3th?mn4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::\7fa0dc=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn9l?:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg2e:3:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl;b583>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e<k<1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb5`;>5<5290;w)<kf;3f4>N48h1C>h=4$0;7>7?<a8236=44o37:>5<<uk>im7>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;<?6=3f8>57>5;|`7ff<72;0;6=u+2ed95`6<@::j7E<j3:&2=1<512c:454?::m11<<722wi8l850;094?6|,;nm6<k?;I13e>N5m:1/=4:5289j5=>=831d>8750;9~f1g?29096=4?{%0gb?7b82B8<l5G2d18 4?32;30e<67:188k73>2900qo:na;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd2<;0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm55694?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj<>=6=4=:183\7f!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<<g;?26=44}c77<?6=:3:1<v*=dg82a5=O;9k0D?k<;%3:0?4>3`;347>5;n06=?6=3th>8l4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::\7fa11e=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn8:j:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg3283:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl:5383>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e=:i1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb41f>5<5290;w)<kf;3f4>N48h1C>h=4$0;7>7?<a8236=44o37:>5<<uk??<7>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;<?6=3f8>57>5;|`72=<72;0;6=u+2ed95`6<@::j7E<j3:&2=1<512c:454?::m11<<722wi8;o50;094?6|,;nm6<k?;I13e>N5m:1/=4:5289j5=>=831d>8750;9~f10d29096=4?{%0gb?7b82B8<l5G2d18 4?32;30e<67:188k73>2900qo:9e;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd3?90;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm46094?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj==?6=4=:183\7f!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<<g;?26=44}c642?6=:3:1<v*=dg82a5=O;9k0D?k<;%3:0?4>3`;347>5;n06=?6=3th?;54?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::\7fa034=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn98;:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg21>3:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl;fd83>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e=9:1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb421>5<5290;w)<kf;3f4>N48h1C>h=4$0;7>7?<a8236=44o37:>5<<uk?;87>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;<?6=3f8>57>5;|`643<72;0;6=u+2ed95`6<@::j7E<j3:&2=1<512c:454?::m11<<722wi9=650;094?6|,;nm6<k?;I13e>N5m:1/=4:5289j5=>=831d>8750;9~f06f29096=4?{%0gb?7b82B8<l5G2d18 4?32;30e<67:188k73>2900qo;?c;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd28l0;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm4g:94?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj=lj6=4=:183\7f!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<<g;?26=44}c6eg?6=:3:1<v*=dg82a5=O;9k0D?k<;%3:0?4>3`;347>5;n06=?6=3th?>94?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::\7fa070=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn9<7:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188yg25i3:1>7>50z&1`c<6m91C?=o4H3g0?!7><3827d?78;29?j4213:17pl;2b83>7<729q/>ih51d28L66f3A8n?6*>9581=>o6010;66a=5883>>{e<;o1<7<50;2x 7ba28o;7E=?a:J1a6=#90>1>45f19:94?=h:<31<75rb513>5<5290;w)<kf;3f4>N48h1C>h=4$0;7>7?<a8236=44o37:>5<<uk>8>7>52;294~"5lo0:i=5G31c8L7c43-;287<6;h3;<?6=3f8>57>5;|`771<72;0;6=u+2ed95`6<@::j7E<j3:&2=1<512c:454?::m11<<722wi8<k50;094?6|,;nm6<k?;I13e>N5m:1/=4:5289j5=>=831d>8750;9~f14729096=4?{%0gb?7b82B8<l5G2d18 4?32;30e<67:188k73>2900qo:=2;296?6=8r.9hk4>e19K75g<@;o87)?64;0:?l7?03:17b<:9;29?xd2m90;6?4?:1y'6a`=9l:0D>>n;I0f7>"61=0956g>8983>>i5=00;66sm58a94?4=83:p(?ji:0g3?M57i2B9i>5+18696<=n9121<75`24;94?=zj=i96=4=:183\7f!4cn3;n<6F<0`9K6`5<,83?6?74i0:;>5<<g;?26=44}c76<?6=:3:1<v*=dg82a5=O;9k0D?k<;%3:0?4>3`;347>5;n06=?6=3th?;h4?:383>5}#:ml1=h>4H22b?M4b;2.:594=9:k2<=<722e9944?::\7fa142=8381<7>t$3fe>4c73A9;m6F=e29'5<2=:01b=5650;9l60?=831vn9=n:181>5<7s-8oj7?j0:J04d=O:l90(<7;:3;8m4>?2900c?;6:188ygd?83:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<m6g>8983>>o6000;66g>8`83>>i5=00;66sm7`d94?3=83:p(?ji:0g0?M57i2B9i>5+18697f=n9121<75f19;94?=n91k1<75f19`94?=h:<31<75rb637>5<2290;w)<kf;3f7>N48h1C>h=4$0;7>6e<a8236=44i0::>5<<a82j6=44i0:a>5<<g;?26=44}c:4`?6==3:1<v*=dg82a6=O;9k0D?k<;%3:0?7?:2c:454?::k2<<<722c:4l4?::k2<g<722e9944?::\7fa<14=83?1<7>t$3fe>4c43A9;m6F=e29'5<2=9180e<67:188m4>>2900e<6n:188m4>e2900c?;6:188yg>703:197>50z&1`c<6m:1C?=o4H3g0?!7><3;<n6g>8983>>o6000;66g>8`83>>o60k0;66a=5883>>{e?jh1<7;50;2x 7ba28o87E=?a:J1a6=#90>1=:l4i0:;>5<<a8226=44i0:b>5<<a82i6=44o37:>5<<uk3o97>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=24=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo798;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1ji1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;f4?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5k650;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188ygg7:3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm96c94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3n57>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=c6=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7i7;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1o?1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}cc3e?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5:k50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0l3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9g494?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3h>7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fae5b=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qoo?e;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1>l1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;`7?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5n:50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?d?3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9b794?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<ukk;j7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa==7=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo770;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1j<1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;`<?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wim<>50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188ygg693:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm99094?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3h57>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=fg=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7le;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1jh1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}cc26?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5k750;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?113:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9bf94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3hj7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=a6=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo79a;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1oh1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;5f?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5ko50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?c;3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9e394?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3o>7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=ce=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo79c;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1m>1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;g2?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5i950;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?1l3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9gg94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3=i7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=cb=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7ka;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1m21<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;g=?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5kh50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?1n3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9e`94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3oo7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=ab=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo780;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{ei9;1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;45?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wim=>50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?b93:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9eg94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3oj7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fae55=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo783;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1l81<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;f7?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5h:50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0<3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sma1794?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<ukk;87>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=23=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7j7;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1l?1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;f2?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wim=850;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0>3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9d:94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3nm7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fa=`d=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo787;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{ei921<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;4<?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wim=950;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?bm3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sm9da94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3nh7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fae5?=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo789;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1ll1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;e5?6=<3:1<v*=dg82a7=O;9k0D?k<;%3:0?70>2c:454?::k2<<<722c:4l4?::m11<<722wi5k<50;694?6|,;nm6<k=;I13e>N5m:1/=4:51648m4>?2900e<66:188m4>f2900c?;6:188yg?0j3:187>50z&1`c<6m;1C?=o4H3g0?!7><3;<:6g>8983>>o6000;66g>8`83>>i5=00;66sma1a94?2=83:p(?ji:0g1?M57i2B9i>5+1869520<a8236=44i0::>5<<a82j6=44o37:>5<<uk3<o7>54;294~"5lo0:i?5G31c8L7c43-;287?86:k2<=<722c:444?::k2<d<722e9944?::\7fae5d=83>1<7>t$3fe>4c53A9;m6F=e29'5<2=9><0e<67:188m4>>2900e<6n:188k73>2900qo7i3;290?6=8r.9hk4>e39K75g<@;o87)?64;342>o6010;66g>8883>>o60h0;66a=5883>>{e1o>1<7:50;2x 7ba28o97E=?a:J1a6=#90>1=:84i0:;>5<<a8226=44i0:b>5<<g;?26=44}c;b7?6=<3:1<v*=dg82a3=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`:0<<72=0;6=u+2ed95`0<@::j7E<j3:k2<=<722c:444?::k1`2<722e9944?::\7fa=d2=83>1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<2f290?6=4?{%0gb?7b>2B8<l5G2d18m4>?2900e<66:188m7b02900c?;6:188yg?fn3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>=<0;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1kk1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0<;6=4;:183\7f!4cn3;n:6F<0`9K6`5<a8236=44i0::>5<<a;n<6=44o37:>5<<uk3io7>54;294~"5lo0:i;5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2:?4?:583>5}#:ml1=h84H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5oj50;694?6|,;nm6<k9;I13e>N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn48<:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7me;290?6=8r.9hk4>e79K75g<@;o87d?78;29?l7?13:17d<k7;29?j4213:17pl66583>1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9cd94?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb846>5<3290;w)<kf;3f2>N48h1C>h=4i0:;>5<<a8226=44i3f4>5<<g;?26=44}c;`4?6=<3:1<v*=dg82a3=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`:23<72=0;6=u+2ed95`0<@::j7E<j3:k2<=<722c:444?::k1`2<722e9944?::\7fa=f7=83>1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<00290?6=4?{%0gb?7b>2B8<l5G2d18m4>?2900e<66:188m7b02900c?;6:188yg?f>3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd><j0;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1h=1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0>o6=4;:183\7f!4cn3;n:6F<0`9K6`5<a8236=44i0::>5<<a;n<6=44o37:>5<<uk3j47>54;294~"5lo0:i;5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th28h4?:583>5}#:ml1=h84H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5l750;694?6|,;nm6<k9;I13e>N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4:i:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7na;290?6=8r.9hk4>e79K75g<@;o87d?78;29?l7?13:17d<k7;29?j4213:17pl65183>1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9``94?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb872>5<3290;w)<kf;3f2>N48h1C>h=4i0:;>5<<a8226=44i3f4>5<<g;?26=44}c;bg?6=<3:1<v*=dg82a3=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`:17<72=0;6=u+2ed95`0<@::j7E<j3:k2<=<722c:444?::k1`2<722e9944?::\7fa=db=83>1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<34290?6=4?{%0gb?7b>2B8<l5G2d18m4>?2900e<66:188m7b02900c?;6:188yg?fm3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>==0;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1k:1<7:50;2x 7ba28o=7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0?=6=4;:183\7f!4cn3;n:6F<0`9K6`5<a8236=44i0::>5<<a;n<6=44o37:>5<<uk3i>7>54;294~"5lo0:i;5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2954?:583>5}#:ml1=h84H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5o=50;694?6|,;nm6<k9;I13e>N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4;6:187>5<7s-8oj7?j6:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7m6;290?6=8r.9hk4>e79K75g<@;o87d?78;29?l7?13:17d<k7;29?j4213:17pl65b83>1<729q/>ih51d48L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm9c594?2=83:p(?ji:0g5?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb87g>5<3290;w)<kf;3f2>N48h1C>h=4i0:;>5<<a8226=44i3f4>5<<g;?26=44}c;a<?6=<3:1<v*=dg82a3=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`:1`<72=0;6=u+2ed95`0<@::j7E<j3:k2<=<722c:444?::k1`2<722e9944?::\7fa=g?=83>1<7>t$3fe>4c13A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<3a290?6=4?{%0gb?7b>2B8<l5G2d18m4>?2900e<66:188m7b02900c?;6:188yg?ej3:187>50z&1`c<6m?1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>>80;694?:1y'6a`=9l<0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e19k1<7:50;2x 7ba28o<7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0:i6=4;:183\7f!4cn3;n;6F<0`9K6`5<a8236=44i0::>5<<a;n<6=44o37:>5<<uk3::7>54;294~"5lo0:i:5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2><4?:583>5}#:ml1=h94H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5?=50;694?6|,;nm6<k8;I13e>N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4<;:187>5<7s-8oj7?j7:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7=5;290?6=8r.9hk4>e69K75g<@;o87d?78;29?l7?13:17d<k7;29?j4213:17pl62783>1<729q/>ih51d58L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm93594?2=83:p(?ji:0g4?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb80;>5<3290;w)<kf;3f3>N48h1C>h=4i0:;>5<<a8226=44i3f4>5<<g;?26=44}c;3`?6=<3:1<v*=dg82a2=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`:4`<72=0;6=u+2ed95`1<@::j7E<j3:k2<=<722c:444?::k1`2<722e9944?::\7fa=5`=83>1<7>t$3fe>4c03A9;m6F=e29j5=>=831b=5750;9j6a1=831d>8750;9~f<77290?6=4?{%0gb?7b?2B8<l5G2d18m4>?2900e<66:188m7b02900c?;6:188yg?693:187>50z&1`c<6m>1C?=o4H3g0?l7?03:17d?79;29?l4c?3:17b<:9;29?xd>9;0;694?:1y'6a`=9l=0D>>n;I0f7>o6010;66g>8883>>o5l>0;66a=5883>>{e1891<7:50;2x 7ba28o<7E=?a:J1a6=n9121<75f19;94?=n:m=1<75`24;94?=zj0;?6=4;:183\7f!4cn3;n;6F<0`9K6`5<a8236=44i0::>5<<a;n<6=44o37:>5<<uk3:97>54;294~"5lo0:i:5G31c8L7c43`;347>5;h3;=?6=3`8o;7>5;n06=?6=3th2=:4?:583>5}#:ml1=h94H22b?M4b;2c:454?::k2<<<722c9h:4?::m11<<722wi5<750;694?6|,;nm6<k8;I13e>N5m:1b=5650;9j5=?=831b>i950;9l60?=831vn4?n:187>5<7s-8oj7?j7:J04d=O:l90e<67:188m4>>2900e?j8:188k73>2900qo7>d;290?6=8r.9hk4>e69K75g<@;o87d?78;29?l7?13:17d<k7;29?j4213:17pl61d83>1<729q/>ih51d58L66f3A8n?6g>8983>>o6000;66g=d683>>i5=00;66sm90d94?2=83:p(?ji:0g4?M57i2B9i>5f19:94?=n9131<75f2e594?=h:<31<75rb803>5<3290;w)<kf;3f3>N48h1C>h=4i0:;>5<<a8226=44i3f4>5<<g;?26=44}c;16?6=<3:1<v*=dg82a2=O;9k0D?k<;h3;<?6=3`;357>5;h0g3?6=3f8>57>5;|`;a0<72=0;6=u+2ed95=6<@::j7E<j3:&2=1<53`<j6=44i6494?=n:?:1<75`2cf94?=zj<ni6=4=:183\7f!4cn3;o46F<0`9K6`5<a82<6=44o37:>5<<uk?2;7>52;294~"5lo0:h55G31c8L7c43`;3;7>5;n06=?6=3th?ni4?:383>5}#:ml1=i64H22b?M4b;2c:4:4?::m11<<722wi98=50;094?6|,;nm6<j7;I13e>N5m:1b=5950;9l60?=831vn996:181>5<7s-8oj7?k8:J04d=O:l90e<68:188k73>2900qo;?f;296?6=8r.9hk4>d99K75g<@;o87d?77;29?j4213:17pl;3483>7<729q/>ih51e:8L66f3A8n?6g>8683>>i5=00;66sm81794?4=83:p(?ji:0f;?M57i2B9i>5f19594?=h:<31<75rb6a;>5<5290;w)<kf;3g<>N48h1C>h=4i0:4>5<<g;?26=44}c`56?6=k;0;6=u+2ed96gc<@::j7E<j3:X5=?e|:>0947<n:3`976<4<39>6>852d81b?502:21qc?=8;28j42f291/>i6516a8 7be2:1/=4;54:&2=3<33-;2;7:4$0;;>1=#903186*>9`87?!7>j3>0(<7l:59'5<b=<2.:5h4;;%3:b?2<,8k;695+1`390>"6i;0?7)?n3;68 4g32=1/=l;54:&2e3<33-;j;7:4$0c;>1=#9h3186*>a`87?!7fj3>0(<ol:59'5db=<2.:mh4;;%3bb?2<,8h;695+1c390>"6j;0?7)?m3;68 4d32=1/=o;54:&2f3<33-;i;7:4$0`;>1=#9k3186*>b`87?!7ej3>0(<ll:59'5gb=<2.:nh4;;%3ab?2<,8i;695+1b390>"6k;0?7)?l3;68 4e32=1/=n;54:&2g3<33-;h;7:4$0a;>1=#9j3186*>c`87?!7dj3>0(<ml:59'5fb=<2.:oh4;;%3`b?2<,8n;695+1e390>"6l;0?7)?k3;68 4b32=1/=i9524:8 4b22:1/=i853:&2<f<33-;3h7:4$0:f>1=#91l186*>9187?!7>93>0(<j6:05`?!7ci3;<o6*=bg811==#:j:1>864n3f1>4=i:m91=6*=d8811==#908186*>9287?!4a:38m=6*=f281b4=i:o>1=6`=f482?!4ck390e;l50;9j2f<722c<=7>5;h51>5<<a8=36=44i3f7>5<<a;n>6=44i05:>5<<a;no6=44i3ff>5<<g?n1<75`6d83>>o3j3:1(?;i:5c8j73b2910e9750;&11c<3i2d99h4>;:k7<?6=,;?m69o4n37f>7=<a==1<7*=5g87e>h5=l0876g;6;29 73a2=k0b?;j:598m02=83.99k4;a:l11`<232c>?7>5$37e>1g<f;?n6;54i4094?"5=o0?m6`=5d84?>o293:1(?;i:5c8j73b2110e8>50;&11c<3i2d99h46;:k7b?6=,;?m69o4n37f>d=<a=o1<7*=5g87e>h5=l0i76g;d;29 73a2=k0b?;j:b98m1e=83.99k4;a:l11`<c32c?97>5$37e>1g<f;?n6h54i4`94?"5=o0>m6`=5d83?>o213:1(?;i:4c8j73b2810e8650;&11c<2i2d99h4=;:k63?6=,;?m68o4n37f>6=<a<<1<7*=5g86e>h5=l0?76g94;29 73a2<k0b?;j:498m35=83.99k4:a:l11`<132c=>7>5$37e>0g<f;?n6:54i7394?"5=o0>m6`=5d8;?>o183:1(?;i:4c8j73b2010e8h50;&11c<2i2d99h4n;:k6a?6=,;?m68o4n37f>g=<a<n1<7*=5g86e>h5=l0h76g:c;29 73a2<k0b?;j:e98m03=83.99k4:a:l11`<b32ci?7>5$37e>g4<f;?n6=54ic394?"5=o0i>6`=5d82?>ofn3:1(?;i:c08j73b2;10elk50;&11c<e:2d99h4<;:kb`?6=,;?m6o<4n37f>1=<ahi1<7*=5g8a6>h5=l0>76gnb;29 73a2k80b?;j:798mdg=83.99k4m2:l11`<032cj57>5$37e>g4<f;?n6554i`:94?"5=o0i>6`=5d8:?>of?3:1(?;i:c08j73b2h10el850;&11c<e:2d99h4m;:kb0?6=,;?m6o<4n37f>f=<ah91<7*=5g8a6>h5=l0o76gn2;29 73a2k80b?;j:d98md7=83.99k4m2:l11`<a32cj<7>5$37e>g4<f;?n6<>4;h;e>5<#:<l1n?5a24g954=<a0o1<7*=5g8a6>h5=l0:>65f9e83>!42n3h97c<:e;30?>o>k3:1(?;i:c08j73b28>07d7m:18'60`=j;1e>8k51498mgg=83.99k4m2:l11`<6>21bn44?:%06b?d53g8>i7?8;:ka<?6=,;?m6o<4n37f>4><3`h<6=4+24d9f7=i:<o1=454ic494?"5=o0i>6`=5d82e>=nj<0;6)<:f;`1?k42m3;i76gm4;29 73a2k80b?;j:0a8?ld7290/>8h5b39m60c=9m10el;50;&11c<e:2d99h4>e:9j=d<72-8>j7l=;o06a?7a32co87>5$37e>a5<f;?n6=54ie094?"5=o0o?6`=5d82?>oc83:1(?;i:e18j73b2;10enh50;&11c<c;2d99h4<;:k`a?6=,;?m6i=4n37f>1=<ajn1<7*=5g8g7>h5=l0>76glc;29 73a2m90b?;j:798mfd=83.99k4k3:l11`<032chm7>5$37e>a5<f;?n6554ib;94?"5=o0o?6`=5d8:?>od03:1(?;i:e18j73b2h10en950;&11c<c;2d99h4m;:k`1?6=,;?m6i=4n37f>f=<aj>1<7*=5g8g7>h5=l0o76gl3;29 73a2m90b?;j:d98mf4=83.99k4k3:l11`<a32ch=7>5$37e>a5<f;?n6<>4;ha3>5<#:<l1h>5a24g954=<akl1<7*=5g8g7>h5=l0:>65fbd83>!42n3n87c<:e;30?>oel3:1(?;i:e18j73b28>07dll:18'60`=l:1e>8k51498mad=83.99k4k3:l11`<6>21bhl4?:%06b?b43g8>i7?8;:kg=?6=,;?m6i=4n37f>4><3`n36=4+24d9`6=i:<o1=454ie594?"5=o0o?6`=5d82e>=nl?0;6)<:f;f0?k42m3;i76gk5;29 73a2m90b?;j:0a8?lb6290/>8h5d29m60c=9m10en850;&11c<c;2d99h4>e:9jfg<72-8>j7j<;o06a?7a32c:<<4?:%06b?7782d99h4?;:keb?6=,;?m6<>?;o06a?7<3`ln6=4+24d9556<f;?n6?54igf94?"5=o0:<=5a24g97>=n99<1<7*=5g8240=i:<o1<65f11694?"5=o0:<85a24g95>=n9991<7*=5g8240=i:<o1>65f11094?"5=o0:<85a24g97>=n:lh1<7*=5g81ad=i:<o1<65f2d;94?"5=o09il5a24g95>=n:l21<7*=5g81ad=i:<o1>65f2d594?"5=o09il5a24g97>=n:o:1<7*=5g81ac=i:<o1<65f2dg94?"5=o09ik5a24g95>=n:ln1<7*=5g81ac=i:<o1>65f2da94?"5=o09ik5a24g97>=h9;:1<7*=5g825c=i:<o1<65`10g94?"5=o0:=k5a24g95>=h98i1<7*=5g825c=i:<o1>65`10`94?"5=o0:=k5a24g97>=h98k1<7*=5g825c=i:<o1865`10;94?"5=o0:=k5a24g91>=h9821<7*=5g825c=i:<o1:65`10594?"5=o0:=k5a24g93>=h98<1<7*=5g825c=i:<o1465`10794?"5=o0:=k5a24g9=>=h98>1<7*=5g825c=i:<o1m65`10194?"5=o0:=k5a24g9f>=h98;1<7*=5g825c=i:<o1o65`10294?"5=o0:=k5a24g9`>=h99l1<7*=5g825c=i:<o1i65`11g94?"5=o0:=k5a24g9b>=h99n1<7*=5g825c=i:<o1==54o02`>5<#:<l1=<h4n37f>47<3f;;n7>5$37e>47a3g8>i7?=;:m24d<72-8>j7?>f:l11`<6;21d==750;&11c<69o1e>8k51598k46?290/>8h510d8j73b28?07b?=7;29 73a28;m7c<:e;35?>i6:?0;6)<:f;32b>h5=l0:;65`13794?"5=o0:=k5a24g95==<g88?6=4+24d954`<f;?n6<74;n317?6=,;?m6<?i;o06a?7f32e:>?4?:%06b?76n2d99h4>b:9l577=83.99k4>1g9m60c=9j10c<?k:18'60`=98l0b?;j:0f8?j76:3:1(?;i:03e?k42m3;n76a>0683>!42n3;:j6`=5d82b>=h9=81<7*=5g8204=i:<o1<65`15294?"5=o0:8<5a24g95>=h9:o1<7*=5g8204=i:<o1>65`12f94?"5=o0:8<5a24g97>=h9:i1<7*=5g8204=i:<o1865`12`94?"5=o0:8<5a24g91>=h9:k1<7*=5g8204=i:<o1:65`12;94?"5=o0:8<5a24g93>=h9:21<7*=5g8204=i:<o1465`12594?"5=o0:8<5a24g9=>=h9:<1<7*=5g8204=i:<o1m65`12794?"5=o0:8<5a24g9f>=h9:91<7*=5g8204=i:<o1o65`12094?"5=o0:8<5a24g9`>=h9:;1<7*=5g8204=i:<o1i65`12294?"5=o0:8<5a24g9b>=h9;l1<7*=5g8204=i:<o1==54o00f>5<#:<l1=9?4n37f>47<3f;9h7>5$37e>4263g8>i7?=;:m26f<72-8>j7?;1:l11`<6;21d=?l50;&11c<6<81e>8k51598k44f290/>8h51538j73b28?07b?;9;29 73a28>:7c<:e;35?>i6<10;6)<:f;375>h5=l0:;65`15594?"5=o0:8<5a24g95==<g8>=6=4+24d9517<f;?n6<74;n371?6=,;?m6<:>;o06a?7f32e:894?:%06b?7392d99h4>b:9l515=83.99k4>409m60c=9j10c<=i:18'60`=9=;0b?;j:0f8?j74<3:1(?;i:062?k42m3;n76a>2883>!42n3;?=6`=5d82b>=h9=l1<7*=5g820`=i:<o1<65`15f94?"5=o0:8h5a24g95>=h9=i1<7*=5g820`=i:<o1>65`15`94?"5=o0:8h5a24g97>=h9<>1<7*=5g8216=i:<o1<65`14094?"5=o0:9>5a24g95>=h9<;1<7*=5g8216=i:<o1>65`14294?"5=o0:9>5a24g97>=zjk<o6=4l2;294~"5lo09nh5G31c8L7c43S<26nu=7;0;>7g=:k08?7=;:27973<5m38m6>95398~j44?291e=9o50:&1`=<6?j1/>il53:&2=0<33-;2:7:4$0;4>1=#902186*>9887?!7>i3>0(<7m:59'5<e=<2.:5i4;;%3:a?2<,83m695+1`290>"6i80?7)?n2;68 4g42=1/=l:54:&2e0<33-;j:7:4$0c4>1=#9h2186*>a887?!7fi3>0(<om:59'5de=<2.:mi4;;%3ba?2<,8km695+1c290>"6j80?7)?m2;68 4d42=1/=o:54:&2f0<33-;i:7:4$0`4>1=#9k2186*>b887?!7ei3>0(<lm:59'5ge=<2.:ni4;;%3aa?2<,8hm695+1b290>"6k80?7)?l2;68 4e42=1/=n:54:&2g0<33-;h:7:4$0a4>1=#9j2186*>c887?!7di3>0(<mm:59'5fe=<2.:oi4;;%3`a?2<,8im695+1e290>"6l80?7)?k2;68 4b42=1/=i:54:&2`2<5=11/=i;53:&2`3<43-;3o7:4$0:g>1=#91o186*>8g87?!7>83>0(<7>:59'5a?=9>i0(<jn:05`?!4en38>46*=c1811==i:m81=6`=d282?!4c138>46*>9387?!7>;3>0(?h=:3d2?!4a;38m=6`=f582?k4a=3;0(?jl:29j2g<722c=o7>5;h52>5<<a>81<75f16:94?=n:m>1<75f2e794?=n9>31<75f2ef94?=n:mo1<75`6e83>>i1m3:17d:m:18'60`=<h1e>8k50:9j0<<72-8>j7:n;o06a?7<3`>36=4+24d90d=i:<o1>65f4683>!42n3>j7c<:e;18?l21290/>8h54`9m60c=<21b994?:%06b?2f3g8>i7;4;h70>5<#:<l18l5a24g92>=n=;0;6)<:f;6b?k42m3=07d;>:18'60`=<h1e>8k58:9j15<72-8>j7:n;o06a??<3`>m6=4+24d90d=i:<o1m65f4d83>!42n3>j7c<:e;`8?l2c290/>8h54`9m60c=k21b8n4?:%06b?2f3g8>i7j4;h66>5<#:<l18l5a24g9a>=n=k0;6)<:f;7b?k42m3:07d;6:18'60`==h1e>8k51:9j1=<72-8>j7;n;o06a?4<3`?<6=4+24d91d=i:<o1?65f5783>!42n3?j7c<:e;68?l03290/>8h55`9m60c==21b:>4?:%06b?3f3g8>i784;h41>5<#:<l19l5a24g93>=n>80;6)<:f;7b?k42m3207d8?:18'60`==h1e>8k59:9j1c<72-8>j7;n;o06a?g<3`?n6=4+24d91d=i:<o1n65f5e83>!42n3?j7c<:e;a8?l3d290/>8h55`9m60c=l21b984?:%06b?3f3g8>i7k4;h`0>5<#:<l1n?5a24g94>=nj80;6)<:f;`1?k42m3;07doi:18'60`=j;1e>8k52:9je`<72-8>j7l=;o06a?5<3`ko6=4+24d9f7=i:<o1865fab83>!42n3h97c<:e;78?lge290/>8h5b39m60c=>21bml4?:%06b?d53g8>i794;hc:>5<#:<l1n?5a24g9<>=ni10;6)<:f;`1?k42m3307do8:18'60`=j;1e>8k5a:9je3<72-8>j7l=;o06a?d<3`k?6=4+24d9f7=i:<o1o65fa283>!42n3h97c<:e;f8?lg5290/>8h5b39m60c=m21bm<4?:%06b?d53g8>i7h4;hc3>5<#:<l1n?5a24g955=<a0l1<7*=5g8a6>h5=l0:=65f9d83>!42n3h97c<:e;31?>o>l3:1(?;i:c08j73b28907d7l:18'60`=j;1e>8k51598m<d=83.99k4m2:l11`<6=21bnl4?:%06b?d53g8>i7?9;:ka=?6=,;?m6o<4n37f>41<3`h36=4+24d9f7=i:<o1=554ic594?"5=o0i>6`=5d82=>=nj?0;6)<:f;`1?k42m3;j76gm5;29 73a2k80b?;j:0`8?ld3290/>8h5b39m60c=9j10eo>50;&11c<e:2d99h4>d:9je0<72-8>j7l=;o06a?7b32c2m7>5$37e>g4<f;?n6<h4;hf7>5<#:<l1h>5a24g94>=nl;0;6)<:f;f0?k42m3;07dj?:18'60`=l:1e>8k52:9jgc<72-8>j7j<;o06a?5<3`in6=4+24d9`6=i:<o1865fce83>!42n3n87c<:e;78?led290/>8h5d29m60c=>21boo4?:%06b?b43g8>i794;hab>5<#:<l1h>5a24g9<>=nk00;6)<:f;f0?k42m3307dm7:18'60`=l:1e>8k5a:9jg2<72-8>j7j<;o06a?d<3`i>6=4+24d9`6=i:<o1o65fc583>!42n3n87c<:e;f8?le4290/>8h5d29m60c=m21bo?4?:%06b?b43g8>i7h4;ha2>5<#:<l1h>5a24g955=<aj:1<7*=5g8g7>h5=l0:=65fbg83>!42n3n87c<:e;31?>oem3:1(?;i:e18j73b28907dlk:18'60`=l:1e>8k51598mge=83.99k4k3:l11`<6=21bho4?:%06b?b43g8>i7?9;:kge?6=,;?m6i=4n37f>41<3`n26=4+24d9`6=i:<o1=554ie:94?"5=o0o?6`=5d82=>=nl>0;6)<:f;f0?k42m3;j76gk6;29 73a2m90b?;j:0`8?lb2290/>8h5d29m60c=9j10ei?50;&11c<c;2d99h4>d:9jg3<72-8>j7j<;o06a?7b32cin7>5$37e>a5<f;?n6<h4;h335?6=,;?m6<>?;o06a?6<3`lm6=4+24d9556<f;?n6<54igg94?"5=o0:<=5a24g96>=nnm0;6)<:f;334>h5=l0876g>0783>!42n3;;96`=5d83?>o68=0;6)<:f;331>h5=l0:76g>0283>!42n3;;96`=5d81?>o68;0;6)<:f;331>h5=l0876g=ec83>!42n38nm6`=5d83?>o5m00;6)<:f;0fe>h5=l0:76g=e983>!42n38nm6`=5d81?>o5m>0;6)<:f;0fe>h5=l0876g=f183>!42n38nj6`=5d83?>o5ml0;6)<:f;0fb>h5=l0:76g=ee83>!42n38nj6`=5d81?>o5mj0;6)<:f;0fb>h5=l0876a>2183>!42n3;:j6`=5d83?>i69l0;6)<:f;32b>h5=l0:76a>1b83>!42n3;:j6`=5d81?>i69k0;6)<:f;32b>h5=l0876a>1`83>!42n3;:j6`=5d87?>i6900;6)<:f;32b>h5=l0>76a>1983>!42n3;:j6`=5d85?>i69>0;6)<:f;32b>h5=l0<76a>1783>!42n3;:j6`=5d8;?>i69<0;6)<:f;32b>h5=l0276a>1583>!42n3;:j6`=5d8b?>i69:0;6)<:f;32b>h5=l0i76a>1083>!42n3;:j6`=5d8`?>i6990;6)<:f;32b>h5=l0o76a>0g83>!42n3;:j6`=5d8f?>i68l0;6)<:f;32b>h5=l0m76a>0e83>!42n3;:j6`=5d824>=h99i1<7*=5g825c=i:<o1=<54o02a>5<#:<l1=<h4n37f>44<3f;;m7>5$37e>47a3g8>i7?<;:m24<<72-8>j7?>f:l11`<6<21d==650;&11c<69o1e>8k51498k440290/>8h510d8j73b28<07b?=6;29 73a28;m7c<:e;34?>i6:<0;6)<:f;32b>h5=l0:465`13694?"5=o0:=k5a24g95<=<g8886=4+24d954`<f;?n6<o4;n316?6=,;?m6<?i;o06a?7e32e:><4?:%06b?76n2d99h4>c:9l54b=83.99k4>1g9m60c=9m10c<?=:18'60`=98l0b?;j:0g8?j77?3:1(?;i:03e?k42m3;m76a>4383>!42n3;?=6`=5d83?>i6<90;6)<:f;375>h5=l0:76a>3d83>!42n3;?=6`=5d81?>i6;m0;6)<:f;375>h5=l0876a>3b83>!42n3;?=6`=5d87?>i6;k0;6)<:f;375>h5=l0>76a>3`83>!42n3;?=6`=5d85?>i6;00;6)<:f;375>h5=l0<76a>3983>!42n3;?=6`=5d8;?>i6;>0;6)<:f;375>h5=l0276a>3783>!42n3;?=6`=5d8b?>i6;<0;6)<:f;375>h5=l0i76a>3283>!42n3;?=6`=5d8`?>i6;;0;6)<:f;375>h5=l0o76a>3083>!42n3;?=6`=5d8f?>i6;90;6)<:f;375>h5=l0m76a>2g83>!42n3;?=6`=5d824>=h9;o1<7*=5g8204=i:<o1=<54o00g>5<#:<l1=9?4n37f>44<3f;9o7>5$37e>4263g8>i7?<;:m26g<72-8>j7?;1:l11`<6<21d=?o50;&11c<6<81e>8k51498k42>290/>8h51538j73b28<07b?;8;29 73a28>:7c<:e;34?>i6<>0;6)<:f;375>h5=l0:465`15494?"5=o0:8<5a24g95<=<g8>>6=4+24d9517<f;?n6<o4;n370?6=,;?m6<:>;o06a?7e32e:8>4?:%06b?7392d99h4>c:9l56`=83.99k4>409m60c=9m10c<=;:18'60`=9=;0b?;j:0g8?j7513:1(?;i:062?k42m3;m76a>4g83>!42n3;?i6`=5d83?>i6<m0;6)<:f;37a>h5=l0:76a>4b83>!42n3;?i6`=5d81?>i6<k0;6)<:f;37a>h5=l0876a>5583>!42n3;>?6`=5d83?>i6=;0;6)<:f;367>h5=l0:76a>5083>!42n3;>?6`=5d81?>i6=90;6)<:f;367>h5=l0876smb6594?e5290;w)<kf;0aa>N48h1C>h=4Z7;9g~402;21>l4=b;10>62=;<08:7<j:3d972<403we=?650:l20d<73-8o47?8c:&1`g<43-;297:4$0;5>1=#90=186*>9987?!7>13>0(<7n:59'5<d=<2.:5n4;;%3:`?2<,83n695+18d90>"6i90?7)?n1;68 4g52=1/=l=54:&2e1<33-;j97:4$0c5>1=#9h=186*>a987?!7f13>0(<on:59'5dd=<2.:mn4;;%3b`?2<,8kn695+1`d90>"6j90?7)?m1;68 4d52=1/=o=54:&2f1<33-;i97:4$0`5>1=#9k=186*>b987?!7e13>0(<ln:59'5gd=<2.:nn4;;%3a`?2<,8hn695+1cd90>"6k90?7)?l1;68 4e52=1/=n=54:&2g1<33-;h97:4$0a5>1=#9j=186*>c987?!7d13>0(<mn:59'5fd=<2.:on4;;%3``?2<,8in695+1bd90>"6l90?7)?k1;68 4b52=1/=i=54:&2`1<33-;o;7<:8:&2`0<43-;o:7=4$0:`>1=#91n186*>8d87?!7?n3>0(<7?:59'5<7=<2.:h44>7b9'5ag=9>i0(?li:37;?!4d838>46`=d382?k4c;3;0(?j6:37;?!7>:3>0(<7<:59'6c4=:o;0(?h<:3d2?k4a<3;0b?h::09'6ae=;2c=n7>5;h4`>5<<a>;1<75f7383>>o6?10;66g=d583>>o5l<0;66g>7883>>o5lm0;66g=dd83>>i1l3:17b8j:188m1d=83.99k4;a:l11`<732c?57>5$37e>1g<f;?n6<54i5:94?"5=o0?m6`=5d81?>o3?3:1(?;i:5c8j73b2:10e9850;&11c<3i2d99h4;;:k60?6=,;?m69o4n37f>0=<a<91<7*=5g87e>h5=l0=76g:2;29 73a2=k0b?;j:698m07=83.99k4;a:l11`<?32c><7>5$37e>1g<f;?n6454i5d94?"5=o0?m6`=5d8b?>o3m3:1(?;i:5c8j73b2k10e9j50;&11c<3i2d99h4l;:k7g?6=,;?m69o4n37f>a=<a=?1<7*=5g87e>h5=l0n76g:b;29 73a2<k0b?;j:198m0?=83.99k4:a:l11`<632c>47>5$37e>0g<f;?n6?54i4594?"5=o0>m6`=5d80?>o2>3:1(?;i:4c8j73b2=10e;:50;&11c<2i2d99h4:;:k57?6=,;?m68o4n37f>3=<a?81<7*=5g86e>h5=l0<76g91;29 73a2<k0b?;j:998m36=83.99k4:a:l11`<>32c>j7>5$37e>0g<f;?n6l54i4g94?"5=o0>m6`=5d8a?>o2l3:1(?;i:4c8j73b2j10e8m50;&11c<2i2d99h4k;:k61?6=,;?m68o4n37f>`=<ak91<7*=5g8a6>h5=l0;76gm1;29 73a2k80b?;j:098md`=83.99k4m2:l11`<532cji7>5$37e>g4<f;?n6>54i`f94?"5=o0i>6`=5d87?>ofk3:1(?;i:c08j73b2<10ell50;&11c<e:2d99h49;:kbe?6=,;?m6o<4n37f>2=<ah31<7*=5g8a6>h5=l0376gn8;29 73a2k80b?;j:898md1=83.99k4m2:l11`<f32cj:7>5$37e>g4<f;?n6o54i`694?"5=o0i>6`=5d8`?>of;3:1(?;i:c08j73b2m10el<50;&11c<e:2d99h4j;:kb5?6=,;?m6o<4n37f>c=<ah:1<7*=5g8a6>h5=l0:<65f9g83>!42n3h97c<:e;32?>o>m3:1(?;i:c08j73b28807d7k:18'60`=j;1e>8k51298m<e=83.99k4m2:l11`<6<21b5o4?:%06b?d53g8>i7?:;:kae?6=,;?m6o<4n37f>40<3`h26=4+24d9f7=i:<o1=:54ic:94?"5=o0i>6`=5d82<>=nj>0;6)<:f;`1?k42m3;276gm6;29 73a2k80b?;j:0c8?ld2290/>8h5b39m60c=9k10eo:50;&11c<e:2d99h4>c:9jf5<72-8>j7l=;o06a?7c32cj97>5$37e>g4<f;?n6<k4;h;b>5<#:<l1n?5a24g95c=<am>1<7*=5g8g7>h5=l0;76gk2;29 73a2m90b?;j:098ma6=83.99k4k3:l11`<532chj7>5$37e>a5<f;?n6>54ibg94?"5=o0o?6`=5d87?>odl3:1(?;i:e18j73b2<10enm50;&11c<c;2d99h49;:k`f?6=,;?m6i=4n37f>2=<ajk1<7*=5g8g7>h5=l0376gl9;29 73a2m90b?;j:898mf>=83.99k4k3:l11`<f32ch;7>5$37e>a5<f;?n6o54ib794?"5=o0o?6`=5d8`?>od<3:1(?;i:e18j73b2m10en=50;&11c<c;2d99h4j;:k`6?6=,;?m6i=4n37f>c=<aj;1<7*=5g8g7>h5=l0:<65fc183>!42n3n87c<:e;32?>oen3:1(?;i:e18j73b28807dlj:18'60`=l:1e>8k51298mgb=83.99k4k3:l11`<6<21bnn4?:%06b?b43g8>i7?:;:kgf?6=,;?m6i=4n37f>40<3`nj6=4+24d9`6=i:<o1=:54ie;94?"5=o0o?6`=5d82<>=nl10;6)<:f;f0?k42m3;276gk7;29 73a2m90b?;j:0c8?lb1290/>8h5d29m60c=9k10ei;50;&11c<c;2d99h4>c:9j`4<72-8>j7j<;o06a?7c32ch:7>5$37e>a5<f;?n6<k4;h`a>5<#:<l1h>5a24g95c=<a8::6=4+24d9556<f;?n6=54igd94?"5=o0:<=5a24g95>=nnl0;6)<:f;334>h5=l0976gid;29 73a28:;7c<:e;18?l77>3:1(?;i:026?k42m3:07d??4;29 73a28:>7c<:e;38?l77;3:1(?;i:026?k42m3807d??2;29 73a28:>7c<:e;18?l4bj3:1(?;i:3gb?k42m3:07d<j9;29 73a2;oj7c<:e;38?l4b03:1(?;i:3gb?k42m3807d<j7;29 73a2;oj7c<:e;18?l4a83:1(?;i:3ge?k42m3:07d<je;29 73a2;om7c<:e;38?l4bl3:1(?;i:3ge?k42m3807d<jc;29 73a2;om7c<:e;18?j7583:1(?;i:03e?k42m3:07b?>e;29 73a28;m7c<:e;38?j76k3:1(?;i:03e?k42m3807b?>b;29 73a28;m7c<:e;18?j76i3:1(?;i:03e?k42m3>07b?>9;29 73a28;m7c<:e;78?j7603:1(?;i:03e?k42m3<07b?>7;29 73a28;m7c<:e;58?j76>3:1(?;i:03e?k42m3207b?>5;29 73a28;m7c<:e;;8?j76<3:1(?;i:03e?k42m3k07b?>3;29 73a28;m7c<:e;`8?j7693:1(?;i:03e?k42m3i07b?>0;29 73a28;m7c<:e;f8?j77n3:1(?;i:03e?k42m3o07b??e;29 73a28;m7c<:e;d8?j77l3:1(?;i:03e?k42m3;;76a>0b83>!42n3;:j6`=5d825>=h99h1<7*=5g825c=i:<o1=?54o02b>5<#:<l1=<h4n37f>45<3f;;57>5$37e>47a3g8>i7?;;:m24=<72-8>j7?>f:l11`<6=21d=?950;&11c<69o1e>8k51798k441290/>8h510d8j73b28=07b?=5;29 73a28;m7c<:e;3;?>i6:=0;6)<:f;32b>h5=l0:565`13194?"5=o0:=k5a24g95d=<g8896=4+24d954`<f;?n6<l4;n315?6=,;?m6<?i;o06a?7d32e:=i4?:%06b?76n2d99h4>d:9l544=83.99k4>1g9m60c=9l10c<>8:18'60`=98l0b?;j:0d8?j73:3:1(?;i:062?k42m3:07b?;0;29 73a28>:7c<:e;38?j74m3:1(?;i:062?k42m3807b?<d;29 73a28>:7c<:e;18?j74k3:1(?;i:062?k42m3>07b?<b;29 73a28>:7c<:e;78?j74i3:1(?;i:062?k42m3<07b?<9;29 73a28>:7c<:e;58?j7403:1(?;i:062?k42m3207b?<7;29 73a28>:7c<:e;;8?j74>3:1(?;i:062?k42m3k07b?<5;29 73a28>:7c<:e;`8?j74;3:1(?;i:062?k42m3i07b?<2;29 73a28>:7c<:e;f8?j7493:1(?;i:062?k42m3o07b?<0;29 73a28>:7c<:e;d8?j75n3:1(?;i:062?k42m3;;76a>2d83>!42n3;?=6`=5d825>=h9;n1<7*=5g8204=i:<o1=?54o00`>5<#:<l1=9?4n37f>45<3f;9n7>5$37e>4263g8>i7?;;:m26d<72-8>j7?;1:l11`<6=21d=9750;&11c<6<81e>8k51798k42?290/>8h51538j73b28=07b?;7;29 73a28>:7c<:e;3;?>i6<?0;6)<:f;375>h5=l0:565`15794?"5=o0:8<5a24g95d=<g8>?6=4+24d9517<f;?n6<l4;n377?6=,;?m6<:>;o06a?7d32e:?k4?:%06b?7392d99h4>d:9l562=83.99k4>409m60c=9l10c<<6:18'60`=9=;0b?;j:0d8?j73n3:1(?;i:06f?k42m3:07b?;d;29 73a28>n7c<:e;38?j73k3:1(?;i:06f?k42m3807b?;b;29 73a28>n7c<:e;18?j72<3:1(?;i:070?k42m3:07b?:2;29 73a28?87c<:e;38?j7293:1(?;i:070?k42m3807b?:0;29 73a28?87c<:e;18?xde?10;6n<50;2x 7ba2;hn7E=?a:J1a6=]>00hw?952981e?4e2:91?94<5;15>7c=:o08;7=7:|l26=<73g;?m7>4$3f;>41d3-8on7=4$0;6>1=#90<186*>9687?!7>03>0(<76:59'5<g=<2.:5o4;;%3:g?2<,83o695+18g90>"61o0?7)?n0;68 4g62=1/=l<54:&2e6<33-;j87:4$0c6>1=#9h<186*>a687?!7f03>0(<o6:59'5dg=<2.:mo4;;%3bg?2<,8ko695+1`g90>"6io0?7)?m0;68 4d62=1/=o<54:&2f6<33-;i87:4$0`6>1=#9k<186*>b687?!7e03>0(<l6:59'5gg=<2.:no4;;%3ag?2<,8ho695+1cg90>"6jo0?7)?l0;68 4e62=1/=n<54:&2g6<33-;h87:4$0a6>1=#9j<186*>c687?!7d03>0(<m6:59'5fg=<2.:oo4;;%3`g?2<,8io695+1bg90>"6ko0?7)?k0;68 4b62=1/=i<54:&2`6<33-;o87:4$0f4>73?3-;o97=4$0f5>6=#91i186*>8e87?!7?m3>0(<6i:59'5<6=<2.:5<4;;%3g=?70k2.:hl4>7b9'6g`=:<20(?m?:37;?k4c:3;0b?j<:09'6a?=:<20(<7=:59'5<5=<2.9j?4=f09'6c5=:o;0b?h;:09m6c3=92.9hn4<;h4a>5<<a?i1<75f7083>>o0:3:17d?88;29?l4c<3:17d<k5;29?l7013:17d<kd;29?l4cm3:17b8k:188k3c=831b8o4?:%06b?2f3g8>i7>4;h6:>5<#:<l18l5a24g95>=n<10;6)<:f;6b?k42m3807d:8:18'60`=<h1e>8k53:9j03<72-8>j7:n;o06a?2<3`??6=4+24d90d=i:<o1965f5283>!42n3>j7c<:e;48?l35290/>8h54`9m60c=?21b9<4?:%06b?2f3g8>i764;h73>5<#:<l18l5a24g9=>=n<o0;6)<:f;6b?k42m3k07d:j:18'60`=<h1e>8k5b:9j0a<72-8>j7:n;o06a?e<3`>h6=4+24d90d=i:<o1h65f4483>!42n3>j7c<:e;g8?l3e290/>8h55`9m60c=821b944?:%06b?3f3g8>i7?4;h7;>5<#:<l19l5a24g96>=n=>0;6)<:f;7b?k42m3907d;9:18'60`==h1e>8k54:9j21<72-8>j7;n;o06a?3<3`<86=4+24d91d=i:<o1:65f6383>!42n3?j7c<:e;58?l06290/>8h55`9m60c=021b:=4?:%06b?3f3g8>i774;h7e>5<#:<l19l5a24g9e>=n=l0;6)<:f;7b?k42m3h07d;k:18'60`==h1e>8k5c:9j1f<72-8>j7;n;o06a?b<3`?>6=4+24d91d=i:<o1i65fb283>!42n3h97c<:e;28?ld6290/>8h5b39m60c=921bmk4?:%06b?d53g8>i7<4;hcf>5<#:<l1n?5a24g97>=nim0;6)<:f;`1?k42m3>07dol:18'60`=j;1e>8k55:9jeg<72-8>j7l=;o06a?0<3`kj6=4+24d9f7=i:<o1;65fa883>!42n3h97c<:e;:8?lg?290/>8h5b39m60c=121bm:4?:%06b?d53g8>i7o4;hc5>5<#:<l1n?5a24g9f>=ni=0;6)<:f;`1?k42m3i07do<:18'60`=j;1e>8k5d:9je7<72-8>j7l=;o06a?c<3`k:6=4+24d9f7=i:<o1j65fa183>!42n3h97c<:e;33?>o>n3:1(?;i:c08j73b28;07d7j:18'60`=j;1e>8k51398m<b=83.99k4m2:l11`<6;21b5n4?:%06b?d53g8>i7?;;:k:f?6=,;?m6o<4n37f>43<3`hj6=4+24d9f7=i:<o1=;54ic;94?"5=o0i>6`=5d823>=nj10;6)<:f;`1?k42m3;376gm7;29 73a2k80b?;j:0;8?ld1290/>8h5b39m60c=9h10eo;50;&11c<e:2d99h4>b:9jf1<72-8>j7l=;o06a?7d32ci<7>5$37e>g4<f;?n6<j4;hc6>5<#:<l1n?5a24g95`=<a0k1<7*=5g8a6>h5=l0:j65fd583>!42n3n87c<:e;28?lb5290/>8h5d29m60c=921bh=4?:%06b?b43g8>i7<4;hae>5<#:<l1h>5a24g97>=nkl0;6)<:f;f0?k42m3>07dmk:18'60`=l:1e>8k55:9jgf<72-8>j7j<;o06a?0<3`ii6=4+24d9`6=i:<o1;65fc`83>!42n3n87c<:e;:8?le>290/>8h5d29m60c=121bo54?:%06b?b43g8>i7o4;ha4>5<#:<l1h>5a24g9f>=nk<0;6)<:f;f0?k42m3i07dm;:18'60`=l:1e>8k5d:9jg6<72-8>j7j<;o06a?c<3`i96=4+24d9`6=i:<o1j65fc083>!42n3n87c<:e;33?>od83:1(?;i:e18j73b28;07dli:18'60`=l:1e>8k51398mgc=83.99k4k3:l11`<6;21bni4?:%06b?b43g8>i7?;;:kag?6=,;?m6i=4n37f>43<3`ni6=4+24d9`6=i:<o1=;54iec94?"5=o0o?6`=5d823>=nl00;6)<:f;f0?k42m3;376gk8;29 73a2m90b?;j:0;8?lb0290/>8h5d29m60c=9h10ei850;&11c<c;2d99h4>b:9j`0<72-8>j7j<;o06a?7d32co=7>5$37e>a5<f;?n6<j4;ha5>5<#:<l1h>5a24g95`=<akh1<7*=5g8g7>h5=l0:j65f11394?"5=o0:<=5a24g94>=nno0;6)<:f;334>h5=l0:76gie;29 73a28:;7c<:e;08?l`c290/>8h51128j73b2:10e<>9:18'60`=99?0b?;j:198m463290/>8h51178j73b2810e<><:18'60`=99?0b?;j:398m465290/>8h51178j73b2:10e?km:18'60`=:lk0b?;j:198m7c>290/>8h52dc8j73b2810e?k7:18'60`=:lk0b?;j:398m7c0290/>8h52dc8j73b2:10e?h?:18'60`=:ll0b?;j:198m7cb290/>8h52dd8j73b2810e?kk:18'60`=:ll0b?;j:398m7cd290/>8h52dd8j73b2:10c<<?:18'60`=98l0b?;j:198k47b290/>8h510d8j73b2810c<?l:18'60`=98l0b?;j:398k47e290/>8h510d8j73b2:10c<?n:18'60`=98l0b?;j:598k47>290/>8h510d8j73b2<10c<?7:18'60`=98l0b?;j:798k470290/>8h510d8j73b2>10c<?9:18'60`=98l0b?;j:998k472290/>8h510d8j73b2010c<?;:18'60`=98l0b?;j:`98k474290/>8h510d8j73b2k10c<?>:18'60`=98l0b?;j:b98k477290/>8h510d8j73b2m10c<>i:18'60`=98l0b?;j:d98k46b290/>8h510d8j73b2o10c<>k:18'60`=98l0b?;j:028?j77k3:1(?;i:03e?k42m3;:76a>0c83>!42n3;:j6`=5d826>=h99k1<7*=5g825c=i:<o1=>54o02:>5<#:<l1=<h4n37f>42<3f;;47>5$37e>47a3g8>i7?:;:m262<72-8>j7?>f:l11`<6>21d=?850;&11c<69o1e>8k51698k442290/>8h510d8j73b28207b?=4;29 73a28;m7c<:e;3:?>i6::0;6)<:f;32b>h5=l0:m65`13094?"5=o0:=k5a24g95g=<g88:6=4+24d954`<f;?n6<m4;n32`?6=,;?m6<?i;o06a?7c32e:=?4?:%06b?76n2d99h4>e:9l551=83.99k4>1g9m60c=9o10c<:=:18'60`=9=;0b?;j:198k427290/>8h51538j73b2810c<=j:18'60`=9=;0b?;j:398k45c290/>8h51538j73b2:10c<=l:18'60`=9=;0b?;j:598k45e290/>8h51538j73b2<10c<=n:18'60`=9=;0b?;j:798k45>290/>8h51538j73b2>10c<=7:18'60`=9=;0b?;j:998k450290/>8h51538j73b2010c<=9:18'60`=9=;0b?;j:`98k452290/>8h51538j73b2k10c<=<:18'60`=9=;0b?;j:b98k455290/>8h51538j73b2m10c<=>:18'60`=9=;0b?;j:d98k457290/>8h51538j73b2o10c<<i:18'60`=9=;0b?;j:028?j75m3:1(?;i:062?k42m3;:76a>2e83>!42n3;?=6`=5d826>=h9;i1<7*=5g8204=i:<o1=>54o00a>5<#:<l1=9?4n37f>42<3f;9m7>5$37e>4263g8>i7?:;:m20<<72-8>j7?;1:l11`<6>21d=9650;&11c<6<81e>8k51698k420290/>8h51538j73b28207b?;6;29 73a28>:7c<:e;3:?>i6<<0;6)<:f;375>h5=l0:m65`15694?"5=o0:8<5a24g95g=<g8>86=4+24d9517<f;?n6<m4;n30b?6=,;?m6<:>;o06a?7c32e:?94?:%06b?7392d99h4>e:9l57?=83.99k4>409m60c=9o10c<:i:18'60`=9=o0b?;j:198k42c290/>8h515g8j73b2810c<:l:18'60`=9=o0b?;j:398k42e290/>8h515g8j73b2:10c<;;:18'60`=9<90b?;j:198k435290/>8h51418j73b2810c<;>:18'60`=9<90b?;j:398k437290/>8h51418j73b2:10qol89;29g7<729q/>ih52cg8L66f3A8n?6T99;ax62<5038j6?l532800?522:<1>h4=f;14>6>=ug;947>4n06b>5=#:m21=:m4$3fa>6=#90?186*>9787?!7>?3>0(<77:59'5<?=<2.:5l4;;%3:f?2<,83h695+18f90>"61l0?7)?6f;68 4g72=1/=l?54:&2e7<33-;j?7:4$0c7>1=#9h?186*>a787?!7f?3>0(<o7:59'5d?=<2.:ml4;;%3bf?2<,8kh695+1`f90>"6il0?7)?nf;68 4d72=1/=o?54:&2f7<33-;i?7:4$0`7>1=#9k?186*>b787?!7e?3>0(<l7:59'5g?=<2.:nl4;;%3af?2<,8hh695+1cf90>"6jl0?7)?mf;68 4e72=1/=n?54:&2g7<33-;h?7:4$0a7>1=#9j?186*>c787?!7d?3>0(<m7:59'5f?=<2.:ol4;;%3`f?2<,8ih695+1bf90>"6kl0?7)?lf;68 4b72=1/=i?54:&2`7<33-;o?7:4$0f7>1=#9m=1>864$0f6>6=#9m<1?6*>8b87?!7?l3>0(<6j:59'5=`=<2.:5=4;;%3:5?2<,8n26<9l;%3ge?70k2.9nk4=599'6f6=:<20b?j=:09m6a5=92.9h44=599'5<4=<2.:5>4;;%0e6?4a92.9j>4=f09m6c2=92d9j84>;%0gg?5<a?h1<75f6b83>>o093:17d9=:188m41?2900e?j;:188m7b22900e<96:188m7bc2900e?jj:188k3b=831d:h4?::k7f?6=,;?m69o4n37f>5=<a=31<7*=5g87e>h5=l0:76g;8;29 73a2=k0b?;j:398m11=83.99k4;a:l11`<432c?:7>5$37e>1g<f;?n6954i4694?"5=o0?m6`=5d86?>o2;3:1(?;i:5c8j73b2?10e8<50;&11c<3i2d99h48;:k65?6=,;?m69o4n37f>==<a<:1<7*=5g87e>h5=l0276g;f;29 73a2=k0b?;j:`98m1c=83.99k4;a:l11`<e32c?h7>5$37e>1g<f;?n6n54i5a94?"5=o0?m6`=5d8g?>o3=3:1(?;i:5c8j73b2l10e8l50;&11c<2i2d99h4?;:k6=?6=,;?m68o4n37f>4=<a<21<7*=5g86e>h5=l0976g:7;29 73a2<k0b?;j:298m00=83.99k4:a:l11`<332c=87>5$37e>0g<f;?n6854i7194?"5=o0>m6`=5d85?>o1:3:1(?;i:4c8j73b2>10e;?50;&11c<2i2d99h47;:k54?6=,;?m68o4n37f><=<a<l1<7*=5g86e>h5=l0j76g:e;29 73a2<k0b?;j:c98m0b=83.99k4:a:l11`<d32c>o7>5$37e>0g<f;?n6i54i4794?"5=o0>m6`=5d8f?>oe;3:1(?;i:c08j73b2910eo?50;&11c<e:2d99h4>;:kbb?6=,;?m6o<4n37f>7=<aho1<7*=5g8a6>h5=l0876gnd;29 73a2k80b?;j:598mde=83.99k4m2:l11`<232cjn7>5$37e>g4<f;?n6;54i`c94?"5=o0i>6`=5d84?>of13:1(?;i:c08j73b2110el650;&11c<e:2d99h46;:kb3?6=,;?m6o<4n37f>d=<ah<1<7*=5g8a6>h5=l0i76gn4;29 73a2k80b?;j:b98md5=83.99k4m2:l11`<c32cj>7>5$37e>g4<f;?n6h54i`394?"5=o0i>6`=5d8e?>of83:1(?;i:c08j73b28:07d7i:18'60`=j;1e>8k51098m<c=83.99k4m2:l11`<6:21b5i4?:%06b?d53g8>i7?<;:k:g?6=,;?m6o<4n37f>42<3`3i6=4+24d9f7=i:<o1=854icc94?"5=o0i>6`=5d822>=nj00;6)<:f;`1?k42m3;<76gm8;29 73a2k80b?;j:0:8?ld0290/>8h5b39m60c=9010eo850;&11c<e:2d99h4>a:9jf0<72-8>j7l=;o06a?7e32ci87>5$37e>g4<f;?n6<m4;h`3>5<#:<l1n?5a24g95a=<ah?1<7*=5g8a6>h5=l0:i65f9`83>!42n3h97c<:e;3e?>oc<3:1(?;i:e18j73b2910ei<50;&11c<c;2d99h4>;:kg4?6=,;?m6i=4n37f>7=<ajl1<7*=5g8g7>h5=l0876gle;29 73a2m90b?;j:598mfb=83.99k4k3:l11`<232cho7>5$37e>a5<f;?n6;54ib`94?"5=o0o?6`=5d84?>odi3:1(?;i:e18j73b2110en750;&11c<c;2d99h46;:k`<?6=,;?m6i=4n37f>d=<aj=1<7*=5g8g7>h5=l0i76gl5;29 73a2m90b?;j:b98mf2=83.99k4k3:l11`<c32ch?7>5$37e>a5<f;?n6h54ib094?"5=o0o?6`=5d8e?>od93:1(?;i:e18j73b28:07dm?:18'60`=l:1e>8k51098mg`=83.99k4k3:l11`<6:21bnh4?:%06b?b43g8>i7?<;:ka`?6=,;?m6i=4n37f>42<3`hh6=4+24d9`6=i:<o1=854ie`94?"5=o0o?6`=5d822>=nlh0;6)<:f;f0?k42m3;<76gk9;29 73a2m90b?;j:0:8?lb?290/>8h5d29m60c=9010ei950;&11c<c;2d99h4>a:9j`3<72-8>j7j<;o06a?7e32co97>5$37e>a5<f;?n6<m4;hf2>5<#:<l1h>5a24g95a=<aj<1<7*=5g8g7>h5=l0:i65fbc83>!42n3n87c<:e;3e?>o6880;6)<:f;334>h5=l0;76gif;29 73a28:;7c<:e;38?l`b290/>8h51128j73b2;10ekj50;&11c<6891e>8k53:9j550=83.99k4>049m60c=821b==:50;&11c<68<1e>8k51:9j555=83.99k4>049m60c=:21b==<50;&11c<68<1e>8k53:9j6`d=83.99k4=e`9m60c=821b>h750;&11c<5mh1e>8k51:9j6`>=83.99k4=e`9m60c=:21b>h950;&11c<5mh1e>8k53:9j6c6=83.99k4=eg9m60c=821b>hk50;&11c<5mo1e>8k51:9j6`b=83.99k4=eg9m60c=:21b>hm50;&11c<5mo1e>8k53:9l576=83.99k4>1g9m60c=821d=<k50;&11c<69o1e>8k51:9l54e=83.99k4>1g9m60c=:21d=<l50;&11c<69o1e>8k53:9l54g=83.99k4>1g9m60c=<21d=<750;&11c<69o1e>8k55:9l54>=83.99k4>1g9m60c=>21d=<950;&11c<69o1e>8k57:9l540=83.99k4>1g9m60c=021d=<;50;&11c<69o1e>8k59:9l542=83.99k4>1g9m60c=i21d=<=50;&11c<69o1e>8k5b:9l547=83.99k4>1g9m60c=k21d=<>50;&11c<69o1e>8k5d:9l55`=83.99k4>1g9m60c=m21d==k50;&11c<69o1e>8k5f:9l55b=83.99k4>1g9m60c=9910c<>l:18'60`=98l0b?;j:038?j77j3:1(?;i:03e?k42m3;976a>0`83>!42n3;:j6`=5d827>=h9931<7*=5g825c=i:<o1=954o02;>5<#:<l1=<h4n37f>43<3f;9;7>5$37e>47a3g8>i7?9;:m263<72-8>j7?>f:l11`<6?21d=?;50;&11c<69o1e>8k51998k443290/>8h510d8j73b28307b?=3;29 73a28;m7c<:e;3b?>i6:;0;6)<:f;32b>h5=l0:n65`13394?"5=o0:=k5a24g95f=<g8;o6=4+24d954`<f;?n6<j4;n326?6=,;?m6<?i;o06a?7b32e:<:4?:%06b?76n2d99h4>f:9l514=83.99k4>409m60c=821d=9>50;&11c<6<81e>8k51:9l56c=83.99k4>409m60c=:21d=>j50;&11c<6<81e>8k53:9l56e=83.99k4>409m60c=<21d=>l50;&11c<6<81e>8k55:9l56g=83.99k4>409m60c=>21d=>750;&11c<6<81e>8k57:9l56>=83.99k4>409m60c=021d=>950;&11c<6<81e>8k59:9l560=83.99k4>409m60c=i21d=>;50;&11c<6<81e>8k5b:9l565=83.99k4>409m60c=k21d=><50;&11c<6<81e>8k5d:9l567=83.99k4>409m60c=m21d=>>50;&11c<6<81e>8k5f:9l57`=83.99k4>409m60c=9910c<<j:18'60`=9=;0b?;j:038?j75l3:1(?;i:062?k42m3;976a>2b83>!42n3;?=6`=5d827>=h9;h1<7*=5g8204=i:<o1=954o00b>5<#:<l1=9?4n37f>43<3f;?57>5$37e>4263g8>i7?9;:m20=<72-8>j7?;1:l11`<6?21d=9950;&11c<6<81e>8k51998k421290/>8h51538j73b28307b?;5;29 73a28>:7c<:e;3b?>i6<=0;6)<:f;375>h5=l0:n65`15194?"5=o0:8<5a24g95f=<g89m6=4+24d9517<f;?n6<j4;n300?6=,;?m6<:>;o06a?7b32e:>44?:%06b?7392d99h4>f:9l51`=83.99k4>4d9m60c=821d=9j50;&11c<6<l1e>8k51:9l51e=83.99k4>4d9m60c=:21d=9l50;&11c<6<l1e>8k53:9l502=83.99k4>529m60c=821d=8<50;&11c<6=:1e>8k51:9l507=83.99k4>529m60c=:21d=8>50;&11c<6=:1e>8k53:9~fg1f290h>7>50z&1`c<5jl1C?=o4H3g0?_0>2jq9;7<7:3c96g<4;39?6>;53781a?4a2:=1?54r$0cf>1=#9hl186*>b187?!7e93>0(<l=:59'5g5=<2.:n94;;%3a1?2<,8h=695+1c590>"6j10?7)?m9;68 4df2=1/=ol54:&2ff<33-;ih7:4$0`f>1=#9kl186*>c187?!7d93>0(<m=:59'5f5=<2.:o94;;%3`1?2<,8i=695+1b590>"6k10?7)?l9;68 4ef2=1/=nl54:&2gf<33-;hh7:4$0af>1=#9jl186*>d187?!7c93>0(<j=:59'5a5=<2.:h94;;%3g3?4202.:h84<;%3g2?5<,82h695+19f90>"60l0?7)?7f;68 4?72=1/=4?54:&2`<<6?j1/=io516a8 7da2;?37)<l0;06<>h5l;027c<k3;;8 7b>2;?37)?62;68 4?42=1/>k<52g38 7`42;l:7c<i4;;8j7`2201/=4754:&2=d<33-;2n7:4$0;`>1=#90n186*>9d87?!7>n3>0(<o?:59'5d7=<2.:m?4;;%3b7?2<,8k?695+1`790>"6i?0?7)?n7;68 4g?2=1/=l754:&2ed<33-;jn7:4$0c`>1=#9hn186`>2983?k73i3:0(?j7:05`?!4cj390(<7::59'5<0=<2.:5:4;;%3:<?2<,;nh6>5f6c83>>o1k3:17d9>:188m24=831b=:650;9j6a2=831b>i;50;9j52?=831b>ij50;9j6ac=831d:i4?::m5a?6=3`>i6=4+24d90d=i:<o1<65f4883>!42n3>j7c<:e;38?l2?290/>8h54`9m60c=:21b8:4?:%06b?2f3g8>i7=4;h65>5<#:<l18l5a24g90>=n==0;6)<:f;6b?k42m3?07d;<:18'60`=<h1e>8k56:9j17<72-8>j7:n;o06a?1<3`?:6=4+24d90d=i:<o1465f5183>!42n3>j7c<:e;;8?l2a290/>8h54`9m60c=i21b8h4?:%06b?2f3g8>i7l4;h6g>5<#:<l18l5a24g9g>=n<j0;6)<:f;6b?k42m3n07d:::18'60`=<h1e>8k5e:9j1g<72-8>j7;n;o06a?6<3`?26=4+24d91d=i:<o1=65f5983>!42n3?j7c<:e;08?l30290/>8h55`9m60c=;21b9;4?:%06b?3f3g8>i7:4;h47>5<#:<l19l5a24g91>=n>:0;6)<:f;7b?k42m3<07d8=:18'60`==h1e>8k57:9j24<72-8>j7;n;o06a?><3`<;6=4+24d91d=i:<o1565f5g83>!42n3?j7c<:e;c8?l3b290/>8h55`9m60c=j21b9i4?:%06b?3f3g8>i7m4;h7`>5<#:<l19l5a24g9`>=n=<0;6)<:f;7b?k42m3o07dl<:18'60`=j;1e>8k50:9jf4<72-8>j7l=;o06a?7<3`km6=4+24d9f7=i:<o1>65fad83>!42n3h97c<:e;18?lgc290/>8h5b39m60c=<21bmn4?:%06b?d53g8>i7;4;hca>5<#:<l1n?5a24g92>=nih0;6)<:f;`1?k42m3=07do6:18'60`=j;1e>8k58:9je=<72-8>j7l=;o06a??<3`k<6=4+24d9f7=i:<o1m65fa783>!42n3h97c<:e;`8?lg3290/>8h5b39m60c=k21bm>4?:%06b?d53g8>i7j4;hc1>5<#:<l1n?5a24g9a>=ni80;6)<:f;`1?k42m3l07do?:18'60`=j;1e>8k51198m<`=83.99k4m2:l11`<6921b5h4?:%06b?d53g8>i7?=;:k:`?6=,;?m6o<4n37f>45<3`3h6=4+24d9f7=i:<o1=954i8`94?"5=o0i>6`=5d821>=njh0;6)<:f;`1?k42m3;=76gm9;29 73a2k80b?;j:058?ld?290/>8h5b39m60c=9110eo950;&11c<e:2d99h4>9:9jf3<72-8>j7l=;o06a?7f32ci97>5$37e>g4<f;?n6<l4;h`7>5<#:<l1n?5a24g95f=<ak:1<7*=5g8a6>h5=l0:h65fa483>!42n3h97c<:e;3f?>o>i3:1(?;i:c08j73b28l07dj;:18'60`=l:1e>8k50:9j`7<72-8>j7j<;o06a?7<3`n;6=4+24d9`6=i:<o1>65fcg83>!42n3n87c<:e;18?leb290/>8h5d29m60c=<21boi4?:%06b?b43g8>i7;4;ha`>5<#:<l1h>5a24g92>=nkk0;6)<:f;f0?k42m3=07dmn:18'60`=l:1e>8k58:9jg<<72-8>j7j<;o06a??<3`i36=4+24d9`6=i:<o1m65fc683>!42n3n87c<:e;`8?le2290/>8h5d29m60c=k21bo94?:%06b?b43g8>i7j4;ha0>5<#:<l1h>5a24g9a>=nk;0;6)<:f;f0?k42m3l07dm>:18'60`=l:1e>8k51198mf6=83.99k4k3:l11`<6921bnk4?:%06b?b43g8>i7?=;:kaa?6=,;?m6i=4n37f>45<3`ho6=4+24d9`6=i:<o1=954ica94?"5=o0o?6`=5d821>=nlk0;6)<:f;f0?k42m3;=76gka;29 73a2m90b?;j:058?lb>290/>8h5d29m60c=9110ei650;&11c<c;2d99h4>9:9j`2<72-8>j7j<;o06a?7f32co:7>5$37e>a5<f;?n6<l4;hf6>5<#:<l1h>5a24g95f=<am;1<7*=5g8g7>h5=l0:h65fc783>!42n3n87c<:e;3f?>oej3:1(?;i:e18j73b28l07d??1;29 73a28:;7c<:e;28?l`a290/>8h51128j73b2810ekk50;&11c<6891e>8k52:9jba<72-8>j7??0:l11`<432c:<;4?:%06b?77=2d99h4?;:k241<72-8>j7??5:l11`<632c:<>4?:%06b?77=2d99h4=;:k247<72-8>j7??5:l11`<432c9io4?:%06b?4bi2d99h4?;:k1a<<72-8>j7<ja:l11`<632c9i54?:%06b?4bi2d99h4=;:k1a2<72-8>j7<ja:l11`<432c9j=4?:%06b?4bn2d99h4?;:k1a`<72-8>j7<jf:l11`<632c9ii4?:%06b?4bn2d99h4=;:k1af<72-8>j7<jf:l11`<432e:>=4?:%06b?76n2d99h4?;:m25`<72-8>j7?>f:l11`<632e:=n4?:%06b?76n2d99h4=;:m25g<72-8>j7?>f:l11`<432e:=l4?:%06b?76n2d99h4;;:m25<<72-8>j7?>f:l11`<232e:=54?:%06b?76n2d99h49;:m252<72-8>j7?>f:l11`<032e:=;4?:%06b?76n2d99h47;:m250<72-8>j7?>f:l11`<>32e:=94?:%06b?76n2d99h4n;:m256<72-8>j7?>f:l11`<e32e:=<4?:%06b?76n2d99h4l;:m255<72-8>j7?>f:l11`<c32e:<k4?:%06b?76n2d99h4j;:m24`<72-8>j7?>f:l11`<a32e:<i4?:%06b?76n2d99h4>0:9l55e=83.99k4>1g9m60c=9810c<>m:18'60`=98l0b?;j:008?j77i3:1(?;i:03e?k42m3;876a>0883>!42n3;:j6`=5d820>=h9921<7*=5g825c=i:<o1=854o004>5<#:<l1=<h4n37f>40<3f;9:7>5$37e>47a3g8>i7?8;:m260<72-8>j7?>f:l11`<6021d=?:50;&11c<69o1e>8k51898k444290/>8h510d8j73b28k07b?=2;29 73a28;m7c<:e;3a?>i6:80;6)<:f;32b>h5=l0:o65`10f94?"5=o0:=k5a24g95a=<g8;96=4+24d954`<f;?n6<k4;n333?6=,;?m6<?i;o06a?7a32e:8?4?:%06b?7392d99h4?;:m205<72-8>j7?;1:l11`<632e:?h4?:%06b?7392d99h4=;:m27a<72-8>j7?;1:l11`<432e:?n4?:%06b?7392d99h4;;:m27g<72-8>j7?;1:l11`<232e:?l4?:%06b?7392d99h49;:m27<<72-8>j7?;1:l11`<032e:?54?:%06b?7392d99h47;:m272<72-8>j7?;1:l11`<>32e:?;4?:%06b?7392d99h4n;:m270<72-8>j7?;1:l11`<e32e:?>4?:%06b?7392d99h4l;:m277<72-8>j7?;1:l11`<c32e:?<4?:%06b?7392d99h4j;:m275<72-8>j7?;1:l11`<a32e:>k4?:%06b?7392d99h4>0:9l57c=83.99k4>409m60c=9810c<<k:18'60`=9=;0b?;j:008?j75k3:1(?;i:062?k42m3;876a>2c83>!42n3;?=6`=5d820>=h9;k1<7*=5g8204=i:<o1=854o06:>5<#:<l1=9?4n37f>40<3f;?47>5$37e>4263g8>i7?8;:m202<72-8>j7?;1:l11`<6021d=9850;&11c<6<81e>8k51898k422290/>8h51538j73b28k07b?;4;29 73a28>:7c<:e;3a?>i6<:0;6)<:f;375>h5=l0:o65`12d94?"5=o0:8<5a24g95a=<g89?6=4+24d9517<f;?n6<k4;n31=?6=,;?m6<:>;o06a?7a32e:8k4?:%06b?73m2d99h4?;:m20a<72-8>j7?;e:l11`<632e:8n4?:%06b?73m2d99h4=;:m20g<72-8>j7?;e:l11`<432e:994?:%06b?72;2d99h4?;:m217<72-8>j7?:3:l11`<632e:9<4?:%06b?72;2d99h4=;:m215<72-8>j7?:3:l11`<432win:l50;a1>5<7s-8oj7<me:J04d=O:l90V;75cz04>7>=:h09n7=<:26970<4>38n6?h53680<?{#9ho186*>ag87?!7e83>0(<l>:59'5g4=<2.:n>4;;%3a0?2<,8h>695+1c490>"6j>0?7)?m8;68 4d>2=1/=oo54:&2fg<33-;io7:4$0`g>1=#9ko186*>bg87?!7d83>0(<m>:59'5f4=<2.:o>4;;%3`0?2<,8i>695+1b490>"6k>0?7)?l8;68 4e>2=1/=no54:&2gg<33-;ho7:4$0ag>1=#9jo186*>cg87?!7c83>0(<j>:59'5a4=<2.:h>4;;%3g0?2<,8n<6?;7;%3g1?5<,8n=6>5+19a90>"60m0?7)?7e;68 4>a2=1/=4>54:&2=4<33-;o57?8c:&2`d<6?j1/>oh524:8 7e72;?37c<k2;;8j7b4201/>i7524:8 4?52=1/=4=54:&1b7<5n81/>k=52g38j7`3201e>k;59:&2=<<33-;2m7:4$0;a>1=#90i186*>9e87?!7>m3>0(<7i:59'5d6=<2.:m<4;;%3b6?2<,8k8695+1`690>"6i<0?7)?n6;68 4g02=1/=l654:&2e<<33-;jm7:4$0ca>1=#9hi186*>ae87?k7503:0b<:n:19'6a>=9>i0(?jm:29'5<3=<2.:5;4;;%3:3?2<,833695+2ea97>o1j3:17d8l:188m27=831b;?4?::k23=<722c9h94?::k1`0<722c:;44?::k1`a<722c9hh4?::m5`?6=3f<n6=44i5`94?"5=o0?m6`=5d83?>o313:1(?;i:5c8j73b2810e9650;&11c<3i2d99h4=;:k73?6=,;?m69o4n37f>6=<a=<1<7*=5g87e>h5=l0?76g:4;29 73a2=k0b?;j:498m05=83.99k4;a:l11`<132c>>7>5$37e>1g<f;?n6:54i4394?"5=o0?m6`=5d8;?>o283:1(?;i:5c8j73b2010e9h50;&11c<3i2d99h4n;:k7a?6=,;?m69o4n37f>g=<a=n1<7*=5g87e>h5=l0h76g;c;29 73a2=k0b?;j:e98m13=83.99k4;a:l11`<b32c>n7>5$37e>0g<f;?n6=54i4;94?"5=o0>m6`=5d82?>o203:1(?;i:4c8j73b2;10e8950;&11c<2i2d99h4<;:k62?6=,;?m68o4n37f>1=<a?>1<7*=5g86e>h5=l0>76g93;29 73a2<k0b?;j:798m34=83.99k4:a:l11`<032c==7>5$37e>0g<f;?n6554i7294?"5=o0>m6`=5d8:?>o2n3:1(?;i:4c8j73b2h10e8k50;&11c<2i2d99h4m;:k6`?6=,;?m68o4n37f>f=<a<i1<7*=5g86e>h5=l0o76g:5;29 73a2<k0b?;j:d98mg5=83.99k4m2:l11`<732ci=7>5$37e>g4<f;?n6<54i`d94?"5=o0i>6`=5d81?>ofm3:1(?;i:c08j73b2:10elj50;&11c<e:2d99h4;;:kbg?6=,;?m6o<4n37f>0=<ahh1<7*=5g8a6>h5=l0=76gna;29 73a2k80b?;j:698md?=83.99k4m2:l11`<?32cj47>5$37e>g4<f;?n6454i`594?"5=o0i>6`=5d8b?>of>3:1(?;i:c08j73b2k10el:50;&11c<e:2d99h4l;:kb7?6=,;?m6o<4n37f>a=<ah81<7*=5g8a6>h5=l0n76gn1;29 73a2k80b?;j:g98md6=83.99k4m2:l11`<6821b5k4?:%06b?d53g8>i7?>;:k:a?6=,;?m6o<4n37f>44<3`3o6=4+24d9f7=i:<o1=>54i8a94?"5=o0i>6`=5d820>=n1k0;6)<:f;`1?k42m3;>76gma;29 73a2k80b?;j:048?ld>290/>8h5b39m60c=9>10eo650;&11c<e:2d99h4>8:9jf2<72-8>j7l=;o06a?7>32ci:7>5$37e>g4<f;?n6<o4;h`6>5<#:<l1n?5a24g95g=<ak>1<7*=5g8a6>h5=l0:o65fb183>!42n3h97c<:e;3g?>of=3:1(?;i:c08j73b28o07d7n:18'60`=j;1e>8k51g98ma2=83.99k4k3:l11`<732co>7>5$37e>a5<f;?n6<54ie294?"5=o0o?6`=5d81?>odn3:1(?;i:e18j73b2:10enk50;&11c<c;2d99h4;;:k``?6=,;?m6i=4n37f>0=<aji1<7*=5g8g7>h5=l0=76glb;29 73a2m90b?;j:698mfg=83.99k4k3:l11`<?32ch57>5$37e>a5<f;?n6454ib:94?"5=o0o?6`=5d8b?>od?3:1(?;i:e18j73b2k10en;50;&11c<c;2d99h4l;:k`0?6=,;?m6i=4n37f>a=<aj91<7*=5g8g7>h5=l0n76gl2;29 73a2m90b?;j:g98mf7=83.99k4k3:l11`<6821bo=4?:%06b?b43g8>i7?>;:kab?6=,;?m6i=4n37f>44<3`hn6=4+24d9`6=i:<o1=>54icf94?"5=o0o?6`=5d820>=njj0;6)<:f;f0?k42m3;>76gkb;29 73a2m90b?;j:048?lbf290/>8h5d29m60c=9>10ei750;&11c<c;2d99h4>8:9j`=<72-8>j7j<;o06a?7>32co;7>5$37e>a5<f;?n6<o4;hf5>5<#:<l1h>5a24g95g=<am?1<7*=5g8g7>h5=l0:o65fd083>!42n3n87c<:e;3g?>od>3:1(?;i:e18j73b28o07dlm:18'60`=l:1e>8k51g98m466290/>8h51128j73b2910ekh50;&11c<6891e>8k51:9jb`<72-8>j7??0:l11`<532cmh7>5$37e>4673g8>i7=4;h332?6=,;?m6<>:;o06a?6<3`;;87>5$37e>4623g8>i7?4;h337?6=,;?m6<>:;o06a?4<3`;;>7>5$37e>4623g8>i7=4;h0ff?6=,;?m6?kn;o06a?6<3`8n57>5$37e>7cf3g8>i7?4;h0f<?6=,;?m6?kn;o06a?4<3`8n;7>5$37e>7cf3g8>i7=4;h0e4?6=,;?m6?ki;o06a?6<3`8ni7>5$37e>7ca3g8>i7?4;h0f`?6=,;?m6?ki;o06a?4<3`8no7>5$37e>7ca3g8>i7=4;n314?6=,;?m6<?i;o06a?6<3f;:i7>5$37e>47a3g8>i7?4;n32g?6=,;?m6<?i;o06a?4<3f;:n7>5$37e>47a3g8>i7=4;n32e?6=,;?m6<?i;o06a?2<3f;:57>5$37e>47a3g8>i7;4;n32<?6=,;?m6<?i;o06a?0<3f;:;7>5$37e>47a3g8>i794;n322?6=,;?m6<?i;o06a?><3f;:97>5$37e>47a3g8>i774;n320?6=,;?m6<?i;o06a?g<3f;:?7>5$37e>47a3g8>i7l4;n325?6=,;?m6<?i;o06a?e<3f;:<7>5$37e>47a3g8>i7j4;n33b?6=,;?m6<?i;o06a?c<3f;;i7>5$37e>47a3g8>i7h4;n33`?6=,;?m6<?i;o06a?7732e:<n4?:%06b?76n2d99h4>1:9l55d=83.99k4>1g9m60c=9;10c<>n:18'60`=98l0b?;j:018?j7713:1(?;i:03e?k42m3;?76a>0983>!42n3;:j6`=5d821>=h9;=1<7*=5g825c=i:<o1=;54o005>5<#:<l1=<h4n37f>41<3f;997>5$37e>47a3g8>i7?7;:m261<72-8>j7?>f:l11`<6121d=?=50;&11c<69o1e>8k51`98k445290/>8h510d8j73b28h07b?=1;29 73a28;m7c<:e;3`?>i69m0;6)<:f;32b>h5=l0:h65`10094?"5=o0:=k5a24g95`=<g8:<6=4+24d954`<f;?n6<h4;n376?6=,;?m6<:>;o06a?6<3f;?<7>5$37e>4263g8>i7?4;n30a?6=,;?m6<:>;o06a?4<3f;8h7>5$37e>4263g8>i7=4;n30g?6=,;?m6<:>;o06a?2<3f;8n7>5$37e>4263g8>i7;4;n30e?6=,;?m6<:>;o06a?0<3f;857>5$37e>4263g8>i794;n30<?6=,;?m6<:>;o06a?><3f;8;7>5$37e>4263g8>i774;n302?6=,;?m6<:>;o06a?g<3f;897>5$37e>4263g8>i7l4;n307?6=,;?m6<:>;o06a?e<3f;8>7>5$37e>4263g8>i7j4;n305?6=,;?m6<:>;o06a?c<3f;8<7>5$37e>4263g8>i7h4;n31b?6=,;?m6<:>;o06a?7732e:>h4?:%06b?7392d99h4>1:9l57b=83.99k4>409m60c=9;10c<<l:18'60`=9=;0b?;j:018?j75j3:1(?;i:062?k42m3;?76a>2`83>!42n3;?=6`=5d821>=h9=31<7*=5g8204=i:<o1=;54o06;>5<#:<l1=9?4n37f>41<3f;?;7>5$37e>4263g8>i7?7;:m203<72-8>j7?;1:l11`<6121d=9;50;&11c<6<81e>8k51`98k423290/>8h51538j73b28h07b?;3;29 73a28>:7c<:e;3`?>i6;o0;6)<:f;375>h5=l0:h65`12694?"5=o0:8<5a24g95`=<g8826=4+24d9517<f;?n6<h4;n37b?6=,;?m6<:j;o06a?6<3f;?h7>5$37e>42b3g8>i7?4;n37g?6=,;?m6<:j;o06a?4<3f;?n7>5$37e>42b3g8>i7=4;n360?6=,;?m6<;<;o06a?6<3f;>>7>5$37e>4343g8>i7?4;n365?6=,;?m6<;<;o06a?4<3f;><7>5$37e>4343g8>i7=4;|`a3f<72j81<7>t$3fe>7db3A9;m6F=e29Y2<<ds;=1>54=a;0a>65=;=0897=9:3g96c<4?3936p*>ad87?!7fn3>0(<l?:59'5g7=<2.:n?4;;%3a7?2<,8h?695+1c790>"6j?0?7)?m7;68 4d?2=1/=o754:&2fd<33-;in7:4$0``>1=#9kn186*>bd87?!7en3>0(<m?:59'5f7=<2.:o?4;;%3`7?2<,8i?695+1b790>"6k?0?7)?l7;68 4e?2=1/=n754:&2gd<33-;hn7:4$0a`>1=#9jn186*>cd87?!7dn3>0(<j?:59'5a7=<2.:h?4;;%3g7?2<,8n?695+1e5960><,8n>6>5+1e497>"60j0?7)?7d;68 4>b2=1/=5h54:&2=5<33-;2=7:4$0f:>41d3-;om7?8c:&1fc<5=11/>n>524:8j7b5201e>i=59:&1`<<5=11/=4<54:&2=6<33-8m>7<i1:&1b6<5n81e>k:59:l1b0<>3-;257:4$0;b>1=#90h186*>9b87?!7>l3>0(<7j:59'5<`=<2.:m=4;;%3b5?2<,8k9695+1`190>"6i=0?7)?n5;68 4g12=1/=l954:&2e=<33-;j57:4$0cb>1=#9hh186*>ab87?!7fl3>0b<<7:19m51g=82.9h54>7b9'6ad=;2.:584;;%3:2?2<,83<695+18:90>"5lj087d8m:188m3e=831b;<4?::k46?6=3`;<47>5;h0g0?6=3`8o97>5;h34=?6=3`8oh7>5;h0ga?6=3f<o6=44o7g94?=n<k0;6)<:f;6b?k42m3:07d:6:18'60`=<h1e>8k51:9j0=<72-8>j7:n;o06a?4<3`><6=4+24d90d=i:<o1?65f4783>!42n3>j7c<:e;68?l33290/>8h54`9m60c==21b9>4?:%06b?2f3g8>i784;h71>5<#:<l18l5a24g93>=n=80;6)<:f;6b?k42m3207d;?:18'60`=<h1e>8k59:9j0c<72-8>j7:n;o06a?g<3`>n6=4+24d90d=i:<o1n65f4e83>!42n3>j7c<:e;a8?l2d290/>8h54`9m60c=l21b884?:%06b?2f3g8>i7k4;h7a>5<#:<l19l5a24g94>=n=00;6)<:f;7b?k42m3;07d;7:18'60`==h1e>8k52:9j12<72-8>j7;n;o06a?5<3`?=6=4+24d91d=i:<o1865f6583>!42n3?j7c<:e;78?l04290/>8h55`9m60c=>21b:?4?:%06b?3f3g8>i794;h42>5<#:<l19l5a24g9<>=n>90;6)<:f;7b?k42m3307d;i:18'60`==h1e>8k5a:9j1`<72-8>j7;n;o06a?d<3`?o6=4+24d91d=i:<o1o65f5b83>!42n3?j7c<:e;f8?l32290/>8h55`9m60c=m21bn>4?:%06b?d53g8>i7>4;h`2>5<#:<l1n?5a24g95>=nio0;6)<:f;`1?k42m3807doj:18'60`=j;1e>8k53:9jea<72-8>j7l=;o06a?2<3`kh6=4+24d9f7=i:<o1965fac83>!42n3h97c<:e;48?lgf290/>8h5b39m60c=?21bm44?:%06b?d53g8>i764;hc;>5<#:<l1n?5a24g9=>=ni>0;6)<:f;`1?k42m3k07do9:18'60`=j;1e>8k5b:9je1<72-8>j7l=;o06a?e<3`k86=4+24d9f7=i:<o1h65fa383>!42n3h97c<:e;g8?lg6290/>8h5b39m60c=n21bm=4?:%06b?d53g8>i7??;:k:b?6=,;?m6o<4n37f>47<3`3n6=4+24d9f7=i:<o1=?54i8f94?"5=o0i>6`=5d827>=n1j0;6)<:f;`1?k42m3;?76g6b;29 73a2k80b?;j:078?ldf290/>8h5b39m60c=9?10eo750;&11c<e:2d99h4>7:9jf=<72-8>j7l=;o06a?7?32ci;7>5$37e>g4<f;?n6<74;h`5>5<#:<l1n?5a24g95d=<ak?1<7*=5g8a6>h5=l0:n65fb583>!42n3h97c<:e;3`?>oe83:1(?;i:c08j73b28n07do::18'60`=j;1e>8k51d98m<g=83.99k4m2:l11`<6n21bh94?:%06b?b43g8>i7>4;hf1>5<#:<l1h>5a24g95>=nl90;6)<:f;f0?k42m3807dmi:18'60`=l:1e>8k53:9jg`<72-8>j7j<;o06a?2<3`io6=4+24d9`6=i:<o1965fcb83>!42n3n87c<:e;48?lee290/>8h5d29m60c=?21bol4?:%06b?b43g8>i764;ha:>5<#:<l1h>5a24g9=>=nk10;6)<:f;f0?k42m3k07dm8:18'60`=l:1e>8k5b:9jg0<72-8>j7j<;o06a?e<3`i?6=4+24d9`6=i:<o1h65fc283>!42n3n87c<:e;g8?le5290/>8h5d29m60c=n21bo<4?:%06b?b43g8>i7??;:k`4?6=,;?m6i=4n37f>47<3`hm6=4+24d9`6=i:<o1=?54icg94?"5=o0o?6`=5d827>=njm0;6)<:f;f0?k42m3;?76gmc;29 73a2m90b?;j:078?lbe290/>8h5d29m60c=9?10eio50;&11c<c;2d99h4>7:9j`<<72-8>j7j<;o06a?7?32co47>5$37e>a5<f;?n6<74;hf4>5<#:<l1h>5a24g95d=<am<1<7*=5g8g7>h5=l0:n65fd483>!42n3n87c<:e;3`?>oc93:1(?;i:e18j73b28n07dm9:18'60`=l:1e>8k51d98mgd=83.99k4k3:l11`<6n21b==?50;&11c<6891e>8k50:9jbc<72-8>j7??0:l11`<632cmi7>5$37e>4673g8>i7<4;hdg>5<#:<l1==>4n37f>6=<a8:=6=4+24d9553<f;?n6=54i027>5<#:<l1==;4n37f>4=<a8:86=4+24d9553<f;?n6?54i021>5<#:<l1==;4n37f>6=<a;oi6=4+24d96`g<f;?n6=54i3g:>5<#:<l1>ho4n37f>4=<a;o36=4+24d96`g<f;?n6?54i3g4>5<#:<l1>ho4n37f>6=<a;l;6=4+24d96``<f;?n6=54i3gf>5<#:<l1>hh4n37f>4=<a;oo6=4+24d96``<f;?n6?54i3g`>5<#:<l1>hh4n37f>6=<g88;6=4+24d954`<f;?n6=54o03f>5<#:<l1=<h4n37f>4=<g8;h6=4+24d954`<f;?n6?54o03a>5<#:<l1=<h4n37f>6=<g8;j6=4+24d954`<f;?n6954o03:>5<#:<l1=<h4n37f>0=<g8;36=4+24d954`<f;?n6;54o034>5<#:<l1=<h4n37f>2=<g8;=6=4+24d954`<f;?n6554o036>5<#:<l1=<h4n37f><=<g8;?6=4+24d954`<f;?n6l54o030>5<#:<l1=<h4n37f>g=<g8;:6=4+24d954`<f;?n6n54o033>5<#:<l1=<h4n37f>a=<g8:m6=4+24d954`<f;?n6h54o02f>5<#:<l1=<h4n37f>c=<g8:o6=4+24d954`<f;?n6<>4;n33g?6=,;?m6<?i;o06a?7632e:<o4?:%06b?76n2d99h4>2:9l55g=83.99k4>1g9m60c=9:10c<>6:18'60`=98l0b?;j:068?j7703:1(?;i:03e?k42m3;>76a>2683>!42n3;:j6`=5d822>=h9;<1<7*=5g825c=i:<o1=:54o006>5<#:<l1=<h4n37f>4><3f;987>5$37e>47a3g8>i7?6;:m266<72-8>j7?>f:l11`<6i21d=?<50;&11c<69o1e>8k51c98k446290/>8h510d8j73b28i07b?>d;29 73a28;m7c<:e;3g?>i69;0;6)<:f;32b>h5=l0:i65`11594?"5=o0:=k5a24g95c=<g8>96=4+24d9517<f;?n6=54o063>5<#:<l1=9?4n37f>4=<g89n6=4+24d9517<f;?n6?54o01g>5<#:<l1=9?4n37f>6=<g89h6=4+24d9517<f;?n6954o01a>5<#:<l1=9?4n37f>0=<g89j6=4+24d9517<f;?n6;54o01:>5<#:<l1=9?4n37f>2=<g8936=4+24d9517<f;?n6554o014>5<#:<l1=9?4n37f><=<g89=6=4+24d9517<f;?n6l54o016>5<#:<l1=9?4n37f>g=<g8986=4+24d9517<f;?n6n54o011>5<#:<l1=9?4n37f>a=<g89:6=4+24d9517<f;?n6h54o013>5<#:<l1=9?4n37f>c=<g88m6=4+24d9517<f;?n6<>4;n31a?6=,;?m6<:>;o06a?7632e:>i4?:%06b?7392d99h4>2:9l57e=83.99k4>409m60c=9:10c<<m:18'60`=9=;0b?;j:068?j75i3:1(?;i:062?k42m3;>76a>4883>!42n3;?=6`=5d822>=h9=21<7*=5g8204=i:<o1=:54o064>5<#:<l1=9?4n37f>4><3f;?:7>5$37e>4263g8>i7?6;:m200<72-8>j7?;1:l11`<6i21d=9:50;&11c<6<81e>8k51c98k424290/>8h51538j73b28i07b?<f;29 73a28>:7c<:e;3g?>i6;=0;6)<:f;375>h5=l0:i65`13;94?"5=o0:8<5a24g95c=<g8>m6=4+24d951c<f;?n6=54o06g>5<#:<l1=9k4n37f>4=<g8>h6=4+24d951c<f;?n6?54o06a>5<#:<l1=9k4n37f>6=<g8??6=4+24d9505<f;?n6=54o071>5<#:<l1=8=4n37f>4=<g8?:6=4+24d9505<f;?n6?54o073>5<#:<l1=8=4n37f>6=<ukh<h7>5c383>5}#:ml1>ok4H22b?M4b;2P=57mt2681<?4f2;h1?>4<4;16>60=:l09j7=8:2:9y!7fm3>0(<oi:59'5g6=<2.:n<4;;%3a6?2<,8h8695+1c690>"6j<0?7)?m6;68 4d02=1/=o654:&2f<<33-;im7:4$0`a>1=#9ki186*>be87?!7em3>0(<li:59'5f6=<2.:o<4;;%3`6?2<,8i8695+1b690>"6k<0?7)?l6;68 4e02=1/=n654:&2g<<33-;hm7:4$0aa>1=#9ji186*>ce87?!7dm3>0(<mi:59'5a6=<2.:h<4;;%3g6?2<,8n8695+1e690>"6l>09955+1e797>"6l?087)?7c;68 4>c2=1/=5k54:&2<c<33-;2<7:4$0;2>1=#9m31=:m4$0fb>41d3-8ij7<:8:&1g5<5=11e>i<59:l1`6<>3-8o57<:8:&2=7<33-;2?7:4$3d1>7`63-8m?7<i1:l1b1<>3g8m9774$0;:>1=#90k186*>9c87?!7>k3>0(<7k:59'5<c=<2.:5k4;;%3b4?2<,8k:695+1`090>"6i:0?7)?n4;68 4g22=1/=l854:&2e2<33-;j47:4$0c:>1=#9hk186*>ac87?!7fk3>0(<ok:59m57>=82d:8l4?;%0g<?70k2.9ho4<;%3:1?2<,83=695+18590>"6110?7)<kc;18m3d=831b:n4?::k45?6=3`=96=44i05;>5<<a;n?6=44i3f6>5<<a8=26=44i3fg>5<<a;nn6=44o7f94?=h>l0;66g;b;29 73a2=k0b?;j:198m1?=83.99k4;a:l11`<632c?47>5$37e>1g<f;?n6?54i5594?"5=o0?m6`=5d80?>o3>3:1(?;i:5c8j73b2=10e8:50;&11c<3i2d99h4:;:k67?6=,;?m69o4n37f>3=<a<81<7*=5g87e>h5=l0<76g:1;29 73a2=k0b?;j:998m06=83.99k4;a:l11`<>32c?j7>5$37e>1g<f;?n6l54i5g94?"5=o0?m6`=5d8a?>o3l3:1(?;i:5c8j73b2j10e9m50;&11c<3i2d99h4k;:k71?6=,;?m69o4n37f>`=<a<h1<7*=5g86e>h5=l0;76g:9;29 73a2<k0b?;j:098m0>=83.99k4:a:l11`<532c>;7>5$37e>0g<f;?n6>54i4494?"5=o0>m6`=5d87?>o1<3:1(?;i:4c8j73b2<10e;=50;&11c<2i2d99h49;:k56?6=,;?m68o4n37f>2=<a?;1<7*=5g86e>h5=l0376g90;29 73a2<k0b?;j:898m0`=83.99k4:a:l11`<f32c>i7>5$37e>0g<f;?n6o54i4f94?"5=o0>m6`=5d8`?>o2k3:1(?;i:4c8j73b2m10e8;50;&11c<2i2d99h4j;:ka7?6=,;?m6o<4n37f>5=<ak;1<7*=5g8a6>h5=l0:76gnf;29 73a2k80b?;j:398mdc=83.99k4m2:l11`<432cjh7>5$37e>g4<f;?n6954i`a94?"5=o0i>6`=5d86?>ofj3:1(?;i:c08j73b2?10elo50;&11c<e:2d99h48;:kb=?6=,;?m6o<4n37f>==<ah21<7*=5g8a6>h5=l0276gn7;29 73a2k80b?;j:`98md0=83.99k4m2:l11`<e32cj87>5$37e>g4<f;?n6n54i`194?"5=o0i>6`=5d8g?>of:3:1(?;i:c08j73b2l10el?50;&11c<e:2d99h4i;:kb4?6=,;?m6o<4n37f>46<3`3m6=4+24d9f7=i:<o1=<54i8g94?"5=o0i>6`=5d826>=n1m0;6)<:f;`1?k42m3;876g6c;29 73a2k80b?;j:068?l?e290/>8h5b39m60c=9<10eoo50;&11c<e:2d99h4>6:9jf<<72-8>j7l=;o06a?7032ci47>5$37e>g4<f;?n6<64;h`4>5<#:<l1n?5a24g95<=<ak<1<7*=5g8a6>h5=l0:m65fb483>!42n3h97c<:e;3a?>oe<3:1(?;i:c08j73b28i07dl?:18'60`=j;1e>8k51e98md3=83.99k4m2:l11`<6m21b5l4?:%06b?d53g8>i7?i;:kg0?6=,;?m6i=4n37f>5=<am81<7*=5g8g7>h5=l0:76gk0;29 73a2m90b?;j:398mf`=83.99k4k3:l11`<432chi7>5$37e>a5<f;?n6954ibf94?"5=o0o?6`=5d86?>odk3:1(?;i:e18j73b2?10enl50;&11c<c;2d99h48;:k`e?6=,;?m6i=4n37f>==<aj31<7*=5g8g7>h5=l0276gl8;29 73a2m90b?;j:`98mf1=83.99k4k3:l11`<e32ch97>5$37e>a5<f;?n6n54ib694?"5=o0o?6`=5d8g?>od;3:1(?;i:e18j73b2l10en<50;&11c<c;2d99h4i;:k`5?6=,;?m6i=4n37f>46<3`i;6=4+24d9`6=i:<o1=<54icd94?"5=o0o?6`=5d826>=njl0;6)<:f;f0?k42m3;876gmd;29 73a2m90b?;j:068?ldd290/>8h5d29m60c=9<10eil50;&11c<c;2d99h4>6:9j`d<72-8>j7j<;o06a?7032co57>5$37e>a5<f;?n6<64;hf;>5<#:<l1h>5a24g95<=<am=1<7*=5g8g7>h5=l0:m65fd783>!42n3n87c<:e;3a?>oc=3:1(?;i:e18j73b28i07dj>:18'60`=l:1e>8k51e98mf0=83.99k4k3:l11`<6m21bno4?:%06b?b43g8>i7?i;:k244<72-8>j7??0:l11`<732cmj7>5$37e>4673g8>i7?4;hdf>5<#:<l1==>4n37f>7=<aon1<7*=5g8245=i:<o1?65f11494?"5=o0:<85a24g94>=n99>1<7*=5g8240=i:<o1=65f11194?"5=o0:<85a24g96>=n9981<7*=5g8240=i:<o1?65f2d`94?"5=o09il5a24g94>=n:l31<7*=5g81ad=i:<o1=65f2d:94?"5=o09il5a24g96>=n:l=1<7*=5g81ad=i:<o1?65f2g294?"5=o09ik5a24g94>=n:lo1<7*=5g81ac=i:<o1=65f2df94?"5=o09ik5a24g96>=n:li1<7*=5g81ac=i:<o1?65`13294?"5=o0:=k5a24g94>=h98o1<7*=5g825c=i:<o1=65`10a94?"5=o0:=k5a24g96>=h98h1<7*=5g825c=i:<o1?65`10c94?"5=o0:=k5a24g90>=h9831<7*=5g825c=i:<o1965`10:94?"5=o0:=k5a24g92>=h98=1<7*=5g825c=i:<o1;65`10494?"5=o0:=k5a24g9<>=h98?1<7*=5g825c=i:<o1565`10694?"5=o0:=k5a24g9e>=h9891<7*=5g825c=i:<o1n65`10394?"5=o0:=k5a24g9g>=h98:1<7*=5g825c=i:<o1h65`11d94?"5=o0:=k5a24g9a>=h99o1<7*=5g825c=i:<o1j65`11f94?"5=o0:=k5a24g955=<g8:h6=4+24d954`<f;?n6<?4;n33f?6=,;?m6<?i;o06a?7532e:<l4?:%06b?76n2d99h4>3:9l55?=83.99k4>1g9m60c=9=10c<>7:18'60`=98l0b?;j:078?j75?3:1(?;i:03e?k42m3;=76a>2783>!42n3;:j6`=5d823>=h9;?1<7*=5g825c=i:<o1=554o007>5<#:<l1=<h4n37f>4?<3f;9?7>5$37e>47a3g8>i7?n;:m267<72-8>j7?>f:l11`<6j21d=??50;&11c<69o1e>8k51b98k47c290/>8h510d8j73b28n07b?>2;29 73a28;m7c<:e;3f?>i68>0;6)<:f;32b>h5=l0:j65`15094?"5=o0:8<5a24g94>=h9=:1<7*=5g8204=i:<o1=65`12g94?"5=o0:8<5a24g96>=h9:n1<7*=5g8204=i:<o1?65`12a94?"5=o0:8<5a24g90>=h9:h1<7*=5g8204=i:<o1965`12c94?"5=o0:8<5a24g92>=h9:31<7*=5g8204=i:<o1;65`12:94?"5=o0:8<5a24g9<>=h9:=1<7*=5g8204=i:<o1565`12494?"5=o0:8<5a24g9e>=h9:?1<7*=5g8204=i:<o1n65`12194?"5=o0:8<5a24g9g>=h9:81<7*=5g8204=i:<o1h65`12394?"5=o0:8<5a24g9a>=h9::1<7*=5g8204=i:<o1j65`13d94?"5=o0:8<5a24g955=<g88n6=4+24d9517<f;?n6<?4;n31`?6=,;?m6<:>;o06a?7532e:>n4?:%06b?7392d99h4>3:9l57d=83.99k4>409m60c=9=10c<<n:18'60`=9=;0b?;j:078?j7313:1(?;i:062?k42m3;=76a>4983>!42n3;?=6`=5d823>=h9==1<7*=5g8204=i:<o1=554o065>5<#:<l1=9?4n37f>4?<3f;?97>5$37e>4263g8>i7?n;:m201<72-8>j7?;1:l11`<6j21d=9=50;&11c<6<81e>8k51b98k45a290/>8h51538j73b28n07b?<4;29 73a28>:7c<:e;3f?>i6:00;6)<:f;375>h5=l0:j65`15d94?"5=o0:8h5a24g94>=h9=n1<7*=5g820`=i:<o1=65`15a94?"5=o0:8h5a24g96>=h9=h1<7*=5g820`=i:<o1?65`14694?"5=o0:9>5a24g94>=h9<81<7*=5g8216=i:<o1=65`14394?"5=o0:9>5a24g96>=h9<:1<7*=5g8216=i:<o1?65rbc5f>5<d:3:1<v*=dg81f`=O;9k0D?k<;[4:>f}5?3836?o52c807?532:?1?;4=e;0e>61=;10v(<oj:59'5d`=<2.:n=4;;%3a5?2<,8h9695+1c190>"6j=0?7)?m5;68 4d12=1/=o954:&2f=<33-;i57:4$0`b>1=#9kh186*>bb87?!7el3>0(<lj:59'5g`=<2.:o=4;;%3`5?2<,8i9695+1b190>"6k=0?7)?l5;68 4e12=1/=n954:&2g=<33-;h57:4$0ab>1=#9jh186*>cb87?!7dl3>0(<mj:59'5f`=<2.:h=4;;%3g5?2<,8n9695+1e190>"6l=0?7)?k7;06<>"6l<087)?k6;18 4>d2=1/=5j54:&2<`<33-;3j7:4$0;3>1=#90;186*>d8823f=#9mk1=:m4$3`e>73?3-8h<7<:8:l1`7<>3g8o?774$3f:>73?3-;2>7:4$0;0>1=#:o81>k?4$3d0>7`63g8m8774n3d6><=#903186*>9`87?!7>j3>0(<7l:59'5<b=<2.:5h4;;%3:b?2<,8k;695+1`390>"6i;0?7)?n3;68 4g32=1/=l;54:&2e3<33-;j;7:4$0c;>1=#9h3186*>a`87?!7fj3>0(<ol:59'5db=<2d:>54?;o37e?6<,;n36<9l;%0gf?5<,83>695+18490>"61>0?7)?68;68 7bd2:1b:o4?::k5g?6=3`=:6=44i6094?=n9>21<75f2e694?=n:m?1<75f16;94?=n:mn1<75f2eg94?=h>m0;66a9e;29?l2e290/>8h54`9m60c=821b844?:%06b?2f3g8>i7?4;h6;>5<#:<l18l5a24g96>=n<>0;6)<:f;6b?k42m3907d:9:18'60`=<h1e>8k54:9j11<72-8>j7:n;o06a?3<3`?86=4+24d90d=i:<o1:65f5383>!42n3>j7c<:e;58?l36290/>8h54`9m60c=021b9=4?:%06b?2f3g8>i774;h6e>5<#:<l18l5a24g9e>=n<l0;6)<:f;6b?k42m3h07d:k:18'60`=<h1e>8k5c:9j0f<72-8>j7:n;o06a?b<3`>>6=4+24d90d=i:<o1i65f5c83>!42n3?j7c<:e;28?l3>290/>8h55`9m60c=921b954?:%06b?3f3g8>i7<4;h74>5<#:<l19l5a24g97>=n=?0;6)<:f;7b?k42m3>07d8;:18'60`==h1e>8k55:9j26<72-8>j7;n;o06a?0<3`<96=4+24d91d=i:<o1;65f6083>!42n3?j7c<:e;:8?l07290/>8h55`9m60c=121b9k4?:%06b?3f3g8>i7o4;h7f>5<#:<l19l5a24g9f>=n=m0;6)<:f;7b?k42m3i07d;l:18'60`==h1e>8k5d:9j10<72-8>j7;n;o06a?c<3`h86=4+24d9f7=i:<o1<65fb083>!42n3h97c<:e;38?lga290/>8h5b39m60c=:21bmh4?:%06b?d53g8>i7=4;hcg>5<#:<l1n?5a24g90>=nij0;6)<:f;`1?k42m3?07dom:18'60`=j;1e>8k56:9jed<72-8>j7l=;o06a?1<3`k26=4+24d9f7=i:<o1465fa983>!42n3h97c<:e;;8?lg0290/>8h5b39m60c=i21bm;4?:%06b?d53g8>i7l4;hc7>5<#:<l1n?5a24g9g>=ni:0;6)<:f;`1?k42m3n07do=:18'60`=j;1e>8k5e:9je4<72-8>j7l=;o06a?`<3`k;6=4+24d9f7=i:<o1==54i8d94?"5=o0i>6`=5d825>=n1l0;6)<:f;`1?k42m3;976g6d;29 73a2k80b?;j:018?l?d290/>8h5b39m60c=9=10e4l50;&11c<e:2d99h4>5:9jfd<72-8>j7l=;o06a?7132ci57>5$37e>g4<f;?n6<94;h`;>5<#:<l1n?5a24g95==<ak=1<7*=5g8a6>h5=l0:565fb783>!42n3h97c<:e;3b?>oe=3:1(?;i:c08j73b28h07dl;:18'60`=j;1e>8k51b98mg6=83.99k4m2:l11`<6l21bm84?:%06b?d53g8>i7?j;:k:e?6=,;?m6o<4n37f>4`<3`n?6=4+24d9`6=i:<o1<65fd383>!42n3n87c<:e;38?lb7290/>8h5d29m60c=:21bok4?:%06b?b43g8>i7=4;haf>5<#:<l1h>5a24g90>=nkm0;6)<:f;f0?k42m3?07dml:18'60`=l:1e>8k56:9jgg<72-8>j7j<;o06a?1<3`ij6=4+24d9`6=i:<o1465fc883>!42n3n87c<:e;;8?le?290/>8h5d29m60c=i21bo:4?:%06b?b43g8>i7l4;ha6>5<#:<l1h>5a24g9g>=nk=0;6)<:f;f0?k42m3n07dm<:18'60`=l:1e>8k5e:9jg7<72-8>j7j<;o06a?`<3`i:6=4+24d9`6=i:<o1==54ib294?"5=o0o?6`=5d825>=njo0;6)<:f;f0?k42m3;976gme;29 73a2m90b?;j:018?ldc290/>8h5d29m60c=9=10eom50;&11c<c;2d99h4>5:9j`g<72-8>j7j<;o06a?7132com7>5$37e>a5<f;?n6<94;hf:>5<#:<l1h>5a24g95==<am21<7*=5g8g7>h5=l0:565fd683>!42n3n87c<:e;3b?>oc>3:1(?;i:e18j73b28h07dj::18'60`=l:1e>8k51b98ma7=83.99k4k3:l11`<6l21bo;4?:%06b?b43g8>i7?j;:kaf?6=,;?m6i=4n37f>4`<3`;;=7>5$37e>4673g8>i7>4;hde>5<#:<l1==>4n37f>4=<aoo1<7*=5g8245=i:<o1>65ffe83>!42n3;;<6`=5d80?>o68?0;6)<:f;331>h5=l0;76g>0583>!42n3;;96`=5d82?>o68:0;6)<:f;331>h5=l0976g>0383>!42n3;;96`=5d80?>o5mk0;6)<:f;0fe>h5=l0;76g=e883>!42n38nm6`=5d82?>o5m10;6)<:f;0fe>h5=l0976g=e683>!42n38nm6`=5d80?>o5n90;6)<:f;0fb>h5=l0;76g=ed83>!42n38nj6`=5d82?>o5mm0;6)<:f;0fb>h5=l0976g=eb83>!42n38nj6`=5d80?>i6:90;6)<:f;32b>h5=l0;76a>1d83>!42n3;:j6`=5d82?>i69j0;6)<:f;32b>h5=l0976a>1c83>!42n3;:j6`=5d80?>i69h0;6)<:f;32b>h5=l0?76a>1883>!42n3;:j6`=5d86?>i6910;6)<:f;32b>h5=l0=76a>1683>!42n3;:j6`=5d84?>i69?0;6)<:f;32b>h5=l0376a>1483>!42n3;:j6`=5d8:?>i69=0;6)<:f;32b>h5=l0j76a>1283>!42n3;:j6`=5d8a?>i6980;6)<:f;32b>h5=l0h76a>1183>!42n3;:j6`=5d8g?>i68o0;6)<:f;32b>h5=l0n76a>0d83>!42n3;:j6`=5d8e?>i68m0;6)<:f;32b>h5=l0:<65`11a94?"5=o0:=k5a24g954=<g8:i6=4+24d954`<f;?n6<<4;n33e?6=,;?m6<?i;o06a?7432e:<44?:%06b?76n2d99h4>4:9l55>=83.99k4>1g9m60c=9<10c<<8:18'60`=98l0b?;j:048?j75>3:1(?;i:03e?k42m3;<76a>2483>!42n3;:j6`=5d82<>=h9;>1<7*=5g825c=i:<o1=454o000>5<#:<l1=<h4n37f>4g<3f;9>7>5$37e>47a3g8>i7?m;:m264<72-8>j7?>f:l11`<6k21d=<j50;&11c<69o1e>8k51e98k475290/>8h510d8j73b28o07b??7;29 73a28;m7c<:e;3e?>i6<;0;6)<:f;375>h5=l0;76a>4183>!42n3;?=6`=5d82?>i6;l0;6)<:f;375>h5=l0976a>3e83>!42n3;?=6`=5d80?>i6;j0;6)<:f;375>h5=l0?76a>3c83>!42n3;?=6`=5d86?>i6;h0;6)<:f;375>h5=l0=76a>3883>!42n3;?=6`=5d84?>i6;10;6)<:f;375>h5=l0376a>3683>!42n3;?=6`=5d8:?>i6;?0;6)<:f;375>h5=l0j76a>3483>!42n3;?=6`=5d8a?>i6;:0;6)<:f;375>h5=l0h76a>3383>!42n3;?=6`=5d8g?>i6;80;6)<:f;375>h5=l0n76a>3183>!42n3;?=6`=5d8e?>i6:o0;6)<:f;375>h5=l0:<65`13g94?"5=o0:8<5a24g954=<g88o6=4+24d9517<f;?n6<<4;n31g?6=,;?m6<:>;o06a?7432e:>o4?:%06b?7392d99h4>4:9l57g=83.99k4>409m60c=9<10c<:6:18'60`=9=;0b?;j:048?j7303:1(?;i:062?k42m3;<76a>4683>!42n3;?=6`=5d82<>=h9=<1<7*=5g8204=i:<o1=454o066>5<#:<l1=9?4n37f>4g<3f;?87>5$37e>4263g8>i7?m;:m206<72-8>j7?;1:l11`<6k21d=>h50;&11c<6<81e>8k51e98k453290/>8h51538j73b28o07b?=9;29 73a28>:7c<:e;3e?>i6<o0;6)<:f;37a>h5=l0;76a>4e83>!42n3;?i6`=5d82?>i6<j0;6)<:f;37a>h5=l0976a>4c83>!42n3;?i6`=5d80?>i6==0;6)<:f;367>h5=l0;76a>5383>!42n3;>?6`=5d82?>i6=80;6)<:f;367>h5=l0976a>5183>!42n3;>?6`=5d80?>{ej?91<7m=:183\7f!4cn38ii6F<0`9K6`5<R?31ov<8:3:96d<5j3986>:534802?4b2;l1?:4<8;\7f'5dc=<2.:mk4;;%3a4?2<,8h:695+1c090>"6j:0?7)?m4;68 4d22=1/=o854:&2f2<33-;i47:4$0`:>1=#9kk186*>bc87?!7ek3>0(<lk:59'5gc=<2.:nk4;;%3`4?2<,8i:695+1b090>"6k:0?7)?l4;68 4e22=1/=n854:&2g2<33-;h47:4$0a:>1=#9jk186*>cc87?!7dk3>0(<mk:59'5fc=<2.:ok4;;%3g4?2<,8n:695+1e090>"6l:0?7)?k4;68 4b02;?37)?k5;18 4b12:1/=5m54:&2<a<33-;3i7:4$0:e>1=#90:186*>9087?!7c13;<o6*>d`823f=#:kl1>864$3a3>73?3g8o>774n3f0><=#:m31>864$0;1>1=#909186*=f381b4=#:o91>k?4n3d7><=i:o?156*>9887?!7>i3>0(<7m:59'5<e=<2.:5i4;;%3:a?2<,83m695+1`290>"6i80?7)?n2;68 4g42=1/=l:54:&2e0<33-;j:7:4$0c4>1=#9h2186*>a887?!7fi3>0(<om:59'5de=<2.:mi4;;o31<?6<f8>j6=5+2e:952e<,;ni6>5+18790>"61?0?7)?67;68 4??2=1/>im53:k5f?6=3`<h6=44i6394?=n?;0;66g>7983>>o5l=0;66g=d483>>o6?00;66g=de83>>o5ll0;66a9d;29?j0b2900e9l50;&11c<3i2d99h4?;:k7=?6=,;?m69o4n37f>4=<a=21<7*=5g87e>h5=l0976g;7;29 73a2=k0b?;j:298m10=83.99k4;a:l11`<332c>87>5$37e>1g<f;?n6854i4194?"5=o0?m6`=5d85?>o2:3:1(?;i:5c8j73b2>10e8?50;&11c<3i2d99h47;:k64?6=,;?m69o4n37f><=<a=l1<7*=5g87e>h5=l0j76g;e;29 73a2=k0b?;j:c98m1b=83.99k4;a:l11`<d32c?o7>5$37e>1g<f;?n6i54i5794?"5=o0?m6`=5d8f?>o2j3:1(?;i:4c8j73b2910e8750;&11c<2i2d99h4>;:k6<?6=,;?m68o4n37f>7=<a<=1<7*=5g86e>h5=l0876g:6;29 73a2<k0b?;j:598m32=83.99k4:a:l11`<232c=?7>5$37e>0g<f;?n6;54i7094?"5=o0>m6`=5d84?>o193:1(?;i:4c8j73b2110e;>50;&11c<2i2d99h46;:k6b?6=,;?m68o4n37f>d=<a<o1<7*=5g86e>h5=l0i76g:d;29 73a2<k0b?;j:b98m0e=83.99k4:a:l11`<c32c>97>5$37e>0g<f;?n6h54ic194?"5=o0i>6`=5d83?>oe93:1(?;i:c08j73b2810elh50;&11c<e:2d99h4=;:kba?6=,;?m6o<4n37f>6=<ahn1<7*=5g8a6>h5=l0?76gnc;29 73a2k80b?;j:498mdd=83.99k4m2:l11`<132cjm7>5$37e>g4<f;?n6:54i`;94?"5=o0i>6`=5d8;?>of03:1(?;i:c08j73b2010el950;&11c<e:2d99h4n;:kb2?6=,;?m6o<4n37f>g=<ah>1<7*=5g8a6>h5=l0h76gn3;29 73a2k80b?;j:e98md4=83.99k4m2:l11`<b32cj=7>5$37e>g4<f;?n6k54i`294?"5=o0i>6`=5d824>=n1o0;6)<:f;`1?k42m3;:76g6e;29 73a2k80b?;j:008?l?c290/>8h5b39m60c=9:10e4m50;&11c<e:2d99h4>4:9j=g<72-8>j7l=;o06a?7232cim7>5$37e>g4<f;?n6<84;h`:>5<#:<l1n?5a24g952=<ak21<7*=5g8a6>h5=l0:465fb683>!42n3h97c<:e;3:?>oe>3:1(?;i:c08j73b28k07dl::18'60`=j;1e>8k51c98mg2=83.99k4m2:l11`<6k21bn=4?:%06b?d53g8>i7?k;:kb1?6=,;?m6o<4n37f>4c<3`3j6=4+24d9f7=i:<o1=k54ie694?"5=o0o?6`=5d83?>oc:3:1(?;i:e18j73b2810ei>50;&11c<c;2d99h4=;:k`b?6=,;?m6i=4n37f>6=<ajo1<7*=5g8g7>h5=l0?76gld;29 73a2m90b?;j:498mfe=83.99k4k3:l11`<132chn7>5$37e>a5<f;?n6:54ibc94?"5=o0o?6`=5d8;?>od13:1(?;i:e18j73b2010en650;&11c<c;2d99h4n;:k`3?6=,;?m6i=4n37f>g=<aj?1<7*=5g8g7>h5=l0h76gl4;29 73a2m90b?;j:e98mf5=83.99k4k3:l11`<b32ch>7>5$37e>a5<f;?n6k54ib394?"5=o0o?6`=5d824>=nk90;6)<:f;f0?k42m3;:76gmf;29 73a2m90b?;j:008?ldb290/>8h5d29m60c=9:10eoj50;&11c<c;2d99h4>4:9jff<72-8>j7j<;o06a?7232con7>5$37e>a5<f;?n6<84;hfb>5<#:<l1h>5a24g952=<am31<7*=5g8g7>h5=l0:465fd983>!42n3n87c<:e;3:?>oc?3:1(?;i:e18j73b28k07dj9:18'60`=l:1e>8k51c98ma3=83.99k4k3:l11`<6k21bh<4?:%06b?b43g8>i7?k;:k`2?6=,;?m6i=4n37f>4c<3`hi6=4+24d9`6=i:<o1=k54i022>5<#:<l1==>4n37f>5=<aol1<7*=5g8245=i:<o1=65ffd83>!42n3;;<6`=5d81?>oal3:1(?;i:023?k42m3907d??6;29 73a28:>7c<:e;28?l77<3:1(?;i:026?k42m3;07d??3;29 73a28:>7c<:e;08?l77:3:1(?;i:026?k42m3907d<jb;29 73a2;oj7c<:e;28?l4b13:1(?;i:3gb?k42m3;07d<j8;29 73a2;oj7c<:e;08?l4b?3:1(?;i:3gb?k42m3907d<i0;29 73a2;om7c<:e;28?l4bm3:1(?;i:3ge?k42m3;07d<jd;29 73a2;om7c<:e;08?l4bk3:1(?;i:3ge?k42m3907b?=0;29 73a28;m7c<:e;28?j76m3:1(?;i:03e?k42m3;07b?>c;29 73a28;m7c<:e;08?j76j3:1(?;i:03e?k42m3907b?>a;29 73a28;m7c<:e;68?j7613:1(?;i:03e?k42m3?07b?>8;29 73a28;m7c<:e;48?j76?3:1(?;i:03e?k42m3=07b?>6;29 73a28;m7c<:e;:8?j76=3:1(?;i:03e?k42m3307b?>4;29 73a28;m7c<:e;c8?j76;3:1(?;i:03e?k42m3h07b?>1;29 73a28;m7c<:e;a8?j7683:1(?;i:03e?k42m3n07b??f;29 73a28;m7c<:e;g8?j77m3:1(?;i:03e?k42m3l07b??d;29 73a28;m7c<:e;33?>i68j0;6)<:f;32b>h5=l0:=65`11`94?"5=o0:=k5a24g957=<g8:j6=4+24d954`<f;?n6<=4;n33=?6=,;?m6<?i;o06a?7332e:<54?:%06b?76n2d99h4>5:9l571=83.99k4>1g9m60c=9?10c<<9:18'60`=98l0b?;j:058?j75=3:1(?;i:03e?k42m3;376a>2583>!42n3;:j6`=5d82=>=h9;91<7*=5g825c=i:<o1=l54o001>5<#:<l1=<h4n37f>4d<3f;9=7>5$37e>47a3g8>i7?l;:m25a<72-8>j7?>f:l11`<6l21d=<<50;&11c<69o1e>8k51d98k460290/>8h510d8j73b28l07b?;2;29 73a28>:7c<:e;28?j7383:1(?;i:062?k42m3;07b?<e;29 73a28>:7c<:e;08?j74l3:1(?;i:062?k42m3907b?<c;29 73a28>:7c<:e;68?j74j3:1(?;i:062?k42m3?07b?<a;29 73a28>:7c<:e;48?j7413:1(?;i:062?k42m3=07b?<8;29 73a28>:7c<:e;:8?j74?3:1(?;i:062?k42m3307b?<6;29 73a28>:7c<:e;c8?j74=3:1(?;i:062?k42m3h07b?<3;29 73a28>:7c<:e;a8?j74:3:1(?;i:062?k42m3n07b?<1;29 73a28>:7c<:e;g8?j7483:1(?;i:062?k42m3l07b?=f;29 73a28>:7c<:e;33?>i6:l0;6)<:f;375>h5=l0:=65`13f94?"5=o0:8<5a24g957=<g88h6=4+24d9517<f;?n6<=4;n31f?6=,;?m6<:>;o06a?7332e:>l4?:%06b?7392d99h4>5:9l51?=83.99k4>409m60c=9?10c<:7:18'60`=9=;0b?;j:058?j73?3:1(?;i:062?k42m3;376a>4783>!42n3;?=6`=5d82=>=h9=?1<7*=5g8204=i:<o1=l54o067>5<#:<l1=9?4n37f>4d<3f;??7>5$37e>4263g8>i7?l;:m27c<72-8>j7?;1:l11`<6l21d=>:50;&11c<6<81e>8k51d98k44>290/>8h51538j73b28l07b?;f;29 73a28>n7c<:e;28?j73l3:1(?;i:06f?k42m3;07b?;c;29 73a28>n7c<:e;08?j73j3:1(?;i:06f?k42m3907b?:4;29 73a28?87c<:e;28?j72:3:1(?;i:070?k42m3;07b?:1;29 73a28?87c<:e;08?j7283:1(?;i:070?k42m3907plm6583>f4=83:p(?ji:3`f?M57i2B9i>5U688`\7f71=:109m7<m:21971<4=39=6?k52g803?5?2t.:mh4;;%3bb?2<,8h;695+1c390>"6j;0?7)?m3;68 4d32=1/=o;54:&2f3<33-;i;7:4$0`;>1=#9k3186*>b`87?!7ej3>0(<ll:59'5gb=<2.:nh4;;%3ab?2<,8i;695+1b390>"6k;0?7)?l3;68 4e32=1/=n;54:&2g3<33-;h;7:4$0a;>1=#9j3186*>c`87?!7dj3>0(<ml:59'5fb=<2.:oh4;;%3`b?2<,8n;695+1e390>"6l;0?7)?k3;68 4b32=1/=i9524:8 4b22:1/=i853:&2<f<33-;3h7:4$0:f>1=#91l186*>9187?!7>93>0(<j6:05`?!7ci3;<o6*=bg811==#:j:1>864n3f1><=i:m9156*=d8811==#908186*>9287?!4a:38m=6*=f281b4=i:o>156`=f48:?!7>13>0(<7n:59'5<d=<2.:5n4;;%3:`?2<,83n695+18d90>"6i90?7)?n1;68 4g52=1/=l=54:&2e1<33-;j97:4$0c5>1=#9h=186*>a987?!7f13>0(<on:59'5dd=<2.:mn4;;%3b`?2<f8836=5a15c94>"5l10:;n5+2e`97>"61<0?7)?66;68 4?02=1/=4654:&1`f<43`<i6=44i7a94?=n?80;66g82;29?l7003:17d<k4;29?l4c=3:17d?89;29?l4cl3:17d<ke;29?j0c2900c;k50;9j0g<72-8>j7:n;o06a?6<3`>26=4+24d90d=i:<o1=65f4983>!42n3>j7c<:e;08?l20290/>8h54`9m60c=;21b8;4?:%06b?2f3g8>i7:4;h77>5<#:<l18l5a24g91>=n=:0;6)<:f;6b?k42m3<07d;=:18'60`=<h1e>8k57:9j14<72-8>j7:n;o06a?><3`?;6=4+24d90d=i:<o1565f4g83>!42n3>j7c<:e;c8?l2b290/>8h54`9m60c=j21b8i4?:%06b?2f3g8>i7m4;h6`>5<#:<l18l5a24g9`>=n<<0;6)<:f;6b?k42m3o07d;m:18'60`==h1e>8k50:9j1<<72-8>j7;n;o06a?7<3`?36=4+24d91d=i:<o1>65f5683>!42n3?j7c<:e;18?l31290/>8h55`9m60c=<21b:94?:%06b?3f3g8>i7;4;h40>5<#:<l19l5a24g92>=n>;0;6)<:f;7b?k42m3=07d8>:18'60`==h1e>8k58:9j25<72-8>j7;n;o06a??<3`?m6=4+24d91d=i:<o1m65f5d83>!42n3?j7c<:e;`8?l3c290/>8h55`9m60c=k21b9n4?:%06b?3f3g8>i7j4;h76>5<#:<l19l5a24g9a>=nj:0;6)<:f;`1?k42m3:07dl>:18'60`=j;1e>8k51:9jec<72-8>j7l=;o06a?4<3`kn6=4+24d9f7=i:<o1?65fae83>!42n3h97c<:e;68?lgd290/>8h5b39m60c==21bmo4?:%06b?d53g8>i784;hcb>5<#:<l1n?5a24g93>=ni00;6)<:f;`1?k42m3207do7:18'60`=j;1e>8k59:9je2<72-8>j7l=;o06a?g<3`k=6=4+24d9f7=i:<o1n65fa583>!42n3h97c<:e;a8?lg4290/>8h5b39m60c=l21bm?4?:%06b?d53g8>i7k4;hc2>5<#:<l1n?5a24g9b>=ni90;6)<:f;`1?k42m3;;76g6f;29 73a2k80b?;j:038?l?b290/>8h5b39m60c=9;10e4j50;&11c<e:2d99h4>3:9j=f<72-8>j7l=;o06a?7332c2n7>5$37e>g4<f;?n6<;4;h`b>5<#:<l1n?5a24g953=<ak31<7*=5g8a6>h5=l0:;65fb983>!42n3h97c<:e;3;?>oe?3:1(?;i:c08j73b28307dl9:18'60`=j;1e>8k51`98mg3=83.99k4m2:l11`<6j21bn94?:%06b?d53g8>i7?l;:ka4?6=,;?m6o<4n37f>4b<3`k>6=4+24d9f7=i:<o1=h54i8c94?"5=o0i>6`=5d82b>=nl=0;6)<:f;f0?k42m3:07dj=:18'60`=l:1e>8k51:9j`5<72-8>j7j<;o06a?4<3`im6=4+24d9`6=i:<o1?65fcd83>!42n3n87c<:e;68?lec290/>8h5d29m60c==21bon4?:%06b?b43g8>i784;haa>5<#:<l1h>5a24g93>=nkh0;6)<:f;f0?k42m3207dm6:18'60`=l:1e>8k59:9jg=<72-8>j7j<;o06a?g<3`i<6=4+24d9`6=i:<o1n65fc483>!42n3n87c<:e;a8?le3290/>8h5d29m60c=l21bo>4?:%06b?b43g8>i7k4;ha1>5<#:<l1h>5a24g9b>=nk80;6)<:f;f0?k42m3;;76gl0;29 73a2m90b?;j:038?lda290/>8h5d29m60c=9;10eok50;&11c<c;2d99h4>3:9jfa<72-8>j7j<;o06a?7332cio7>5$37e>a5<f;?n6<;4;hfa>5<#:<l1h>5a24g953=<amk1<7*=5g8g7>h5=l0:;65fd883>!42n3n87c<:e;3;?>oc03:1(?;i:e18j73b28307dj8:18'60`=l:1e>8k51`98ma0=83.99k4k3:l11`<6j21bh84?:%06b?b43g8>i7?l;:kg5?6=,;?m6i=4n37f>4b<3`i=6=4+24d9`6=i:<o1=h54ic`94?"5=o0o?6`=5d82b>=n99;1<7*=5g8245=i:<o1<65ffg83>!42n3;;<6`=5d82?>oam3:1(?;i:023?k42m3807dhk:18'60`=99:0b?;j:298m461290/>8h51178j73b2910e<>;:18'60`=99?0b?;j:098m464290/>8h51178j73b2;10e<>=:18'60`=99?0b?;j:298m7ce290/>8h52dc8j73b2910e?k6:18'60`=:lk0b?;j:098m7c?290/>8h52dc8j73b2;10e?k8:18'60`=:lk0b?;j:298m7`7290/>8h52dd8j73b2910e?kj:18'60`=:ll0b?;j:098m7cc290/>8h52dd8j73b2;10e?kl:18'60`=:ll0b?;j:298k447290/>8h510d8j73b2910c<?j:18'60`=98l0b?;j:098k47d290/>8h510d8j73b2;10c<?m:18'60`=98l0b?;j:298k47f290/>8h510d8j73b2=10c<?6:18'60`=98l0b?;j:498k47?290/>8h510d8j73b2?10c<?8:18'60`=98l0b?;j:698k471290/>8h510d8j73b2110c<?::18'60`=98l0b?;j:898k473290/>8h510d8j73b2h10c<?<:18'60`=98l0b?;j:c98k476290/>8h510d8j73b2j10c<??:18'60`=98l0b?;j:e98k46a290/>8h510d8j73b2l10c<>j:18'60`=98l0b?;j:g98k46c290/>8h510d8j73b28:07b??c;29 73a28;m7c<:e;32?>i68k0;6)<:f;32b>h5=l0:>65`11c94?"5=o0:=k5a24g956=<g8:26=4+24d954`<f;?n6<:4;n33<?6=,;?m6<?i;o06a?7232e:>:4?:%06b?76n2d99h4>6:9l570=83.99k4>1g9m60c=9>10c<<::18'60`=98l0b?;j:0:8?j75<3:1(?;i:03e?k42m3;276a>2283>!42n3;:j6`=5d82e>=h9;81<7*=5g825c=i:<o1=o54o002>5<#:<l1=<h4n37f>4e<3f;:h7>5$37e>47a3g8>i7?k;:m257<72-8>j7?>f:l11`<6m21d==950;&11c<69o1e>8k51g98k425290/>8h51538j73b2910c<:?:18'60`=9=;0b?;j:098k45b290/>8h51538j73b2;10c<=k:18'60`=9=;0b?;j:298k45d290/>8h51538j73b2=10c<=m:18'60`=9=;0b?;j:498k45f290/>8h51538j73b2?10c<=6:18'60`=9=;0b?;j:698k45?290/>8h51538j73b2110c<=8:18'60`=9=;0b?;j:898k451290/>8h51538j73b2h10c<=::18'60`=9=;0b?;j:c98k454290/>8h51538j73b2j10c<==:18'60`=9=;0b?;j:e98k456290/>8h51538j73b2l10c<=?:18'60`=9=;0b?;j:g98k44a290/>8h51538j73b28:07b?=e;29 73a28>:7c<:e;32?>i6:m0;6)<:f;375>h5=l0:>65`13a94?"5=o0:8<5a24g956=<g88i6=4+24d9517<f;?n6<:4;n31e?6=,;?m6<:>;o06a?7232e:844?:%06b?7392d99h4>6:9l51>=83.99k4>409m60c=9>10c<:8:18'60`=9=;0b?;j:0:8?j73>3:1(?;i:062?k42m3;276a>4483>!42n3;?=6`=5d82e>=h9=>1<7*=5g8204=i:<o1=o54o060>5<#:<l1=9?4n37f>4e<3f;8j7>5$37e>4263g8>i7?k;:m271<72-8>j7?;1:l11`<6m21d=?750;&11c<6<81e>8k51g98k42a290/>8h515g8j73b2910c<:k:18'60`=9=o0b?;j:098k42d290/>8h515g8j73b2;10c<:m:18'60`=9=o0b?;j:298k433290/>8h51418j73b2910c<;=:18'60`=9<90b?;j:098k436290/>8h51418j73b2;10c<;?:18'60`=9<90b?;j:298ygd1=3:1o?4?:1y'6a`=:ko0D>>n;I0f7>\113ip>:4=8;0b>7d=;:0887=::2496`<5n39<6>65}%3ba?2<,8km695+1c290>"6j80?7)?m2;68 4d42=1/=o:54:&2f0<33-;i:7:4$0`4>1=#9k2186*>b887?!7ei3>0(<lm:59'5ge=<2.:ni4;;%3aa?2<,8hm695+1b290>"6k80?7)?l2;68 4e42=1/=n:54:&2g0<33-;h:7:4$0a4>1=#9j2186*>c887?!7di3>0(<mm:59'5fe=<2.:oi4;;%3`a?2<,8im695+1e290>"6l80?7)?k2;68 4b42=1/=i:54:&2`2<5=11/=i;53:&2`3<43-;3o7:4$0:g>1=#91o186*>8g87?!7>83>0(<7>:59'5a?=9>i0(<jn:05`?!4en38>46*=c1811==i:m8156`=d28:?!4c138>46*>9387?!7>;3>0(?h=:3d2?!4a;38m=6`=f58:?k4a=330(<76:59'5<g=<2.:5o4;;%3:g?2<,83o695+18g90>"61o0?7)?n0;68 4g62=1/=l<54:&2e6<33-;j87:4$0c6>1=#9h<186*>a687?!7f03>0(<o6:59'5dg=<2.:mo4;;%3bg?2<,8ko695a13:94>h6<h0;7)<k8;34g>"5lk087)?65;68 4?12=1/=4954:&2==<33-8oo7=4i7`94?=n>j0;66g81;29?l152900e<97:188m7b32900e?j::188m41>2900e?jk:188m7bb2900c;j50;9l2`<722c?n7>5$37e>1g<f;?n6=54i5;94?"5=o0?m6`=5d82?>o303:1(?;i:5c8j73b2;10e9950;&11c<3i2d99h4<;:k72?6=,;?m69o4n37f>1=<a<>1<7*=5g87e>h5=l0>76g:3;29 73a2=k0b?;j:798m04=83.99k4;a:l11`<032c>=7>5$37e>1g<f;?n6554i4294?"5=o0?m6`=5d8:?>o3n3:1(?;i:5c8j73b2h10e9k50;&11c<3i2d99h4m;:k7`?6=,;?m69o4n37f>f=<a=i1<7*=5g87e>h5=l0o76g;5;29 73a2=k0b?;j:d98m0d=83.99k4:a:l11`<732c>57>5$37e>0g<f;?n6<54i4:94?"5=o0>m6`=5d81?>o2?3:1(?;i:4c8j73b2:10e8850;&11c<2i2d99h4;;:k50?6=,;?m68o4n37f>0=<a?91<7*=5g86e>h5=l0=76g92;29 73a2<k0b?;j:698m37=83.99k4:a:l11`<?32c=<7>5$37e>0g<f;?n6454i4d94?"5=o0>m6`=5d8b?>o2m3:1(?;i:4c8j73b2k10e8j50;&11c<2i2d99h4l;:k6g?6=,;?m68o4n37f>a=<a<?1<7*=5g86e>h5=l0n76gm3;29 73a2k80b?;j:198mg7=83.99k4m2:l11`<632cjj7>5$37e>g4<f;?n6?54i`g94?"5=o0i>6`=5d80?>ofl3:1(?;i:c08j73b2=10elm50;&11c<e:2d99h4:;:kbf?6=,;?m6o<4n37f>3=<ahk1<7*=5g8a6>h5=l0<76gn9;29 73a2k80b?;j:998md>=83.99k4m2:l11`<>32cj;7>5$37e>g4<f;?n6l54i`494?"5=o0i>6`=5d8a?>of<3:1(?;i:c08j73b2j10el=50;&11c<e:2d99h4k;:kb6?6=,;?m6o<4n37f>`=<ah;1<7*=5g8a6>h5=l0m76gn0;29 73a2k80b?;j:028?l?a290/>8h5b39m60c=9810e4k50;&11c<e:2d99h4>2:9j=a<72-8>j7l=;o06a?7432c2o7>5$37e>g4<f;?n6<:4;h;a>5<#:<l1n?5a24g950=<akk1<7*=5g8a6>h5=l0::65fb883>!42n3h97c<:e;34?>oe03:1(?;i:c08j73b28207dl8:18'60`=j;1e>8k51898mg0=83.99k4m2:l11`<6i21bn84?:%06b?d53g8>i7?m;:ka0?6=,;?m6o<4n37f>4e<3`h;6=4+24d9f7=i:<o1=i54i`794?"5=o0i>6`=5d82a>=n1h0;6)<:f;`1?k42m3;m76gk4;29 73a2m90b?;j:198ma4=83.99k4k3:l11`<632co<7>5$37e>a5<f;?n6?54ibd94?"5=o0o?6`=5d80?>odm3:1(?;i:e18j73b2=10enj50;&11c<c;2d99h4:;:k`g?6=,;?m6i=4n37f>3=<ajh1<7*=5g8g7>h5=l0<76gla;29 73a2m90b?;j:998mf?=83.99k4k3:l11`<>32ch47>5$37e>a5<f;?n6l54ib594?"5=o0o?6`=5d8a?>od=3:1(?;i:e18j73b2j10en:50;&11c<c;2d99h4k;:k`7?6=,;?m6i=4n37f>`=<aj81<7*=5g8g7>h5=l0m76gl1;29 73a2m90b?;j:028?le7290/>8h5d29m60c=9810eoh50;&11c<c;2d99h4>2:9jf`<72-8>j7j<;o06a?7432cih7>5$37e>a5<f;?n6<:4;h``>5<#:<l1h>5a24g950=<amh1<7*=5g8g7>h5=l0::65fd`83>!42n3n87c<:e;34?>oc13:1(?;i:e18j73b28207dj7:18'60`=l:1e>8k51898ma1=83.99k4k3:l11`<6i21bh;4?:%06b?b43g8>i7?m;:kg1?6=,;?m6i=4n37f>4e<3`n:6=4+24d9`6=i:<o1=i54ib494?"5=o0o?6`=5d82a>=njk0;6)<:f;f0?k42m3;m76g>0083>!42n3;;<6`=5d83?>oan3:1(?;i:023?k42m3;07dhj:18'60`=99:0b?;j:398mcb=83.99k4>019m60c=;21b==850;&11c<68<1e>8k50:9j552=83.99k4>049m60c=921b===50;&11c<68<1e>8k52:9j554=83.99k4>049m60c=;21b>hl50;&11c<5mh1e>8k50:9j6`?=83.99k4=e`9m60c=921b>h650;&11c<5mh1e>8k52:9j6`1=83.99k4=e`9m60c=;21b>k>50;&11c<5mo1e>8k50:9j6`c=83.99k4=eg9m60c=921b>hj50;&11c<5mo1e>8k52:9j6`e=83.99k4=eg9m60c=;21d=?>50;&11c<69o1e>8k50:9l54c=83.99k4>1g9m60c=921d=<m50;&11c<69o1e>8k52:9l54d=83.99k4>1g9m60c=;21d=<o50;&11c<69o1e>8k54:9l54?=83.99k4>1g9m60c==21d=<650;&11c<69o1e>8k56:9l541=83.99k4>1g9m60c=?21d=<850;&11c<69o1e>8k58:9l543=83.99k4>1g9m60c=121d=<:50;&11c<69o1e>8k5a:9l545=83.99k4>1g9m60c=j21d=<?50;&11c<69o1e>8k5c:9l546=83.99k4>1g9m60c=l21d==h50;&11c<69o1e>8k5e:9l55c=83.99k4>1g9m60c=n21d==j50;&11c<69o1e>8k51198k46d290/>8h510d8j73b28;07b??b;29 73a28;m7c<:e;31?>i68h0;6)<:f;32b>h5=l0:?65`11;94?"5=o0:=k5a24g951=<g8:36=4+24d954`<f;?n6<;4;n313?6=,;?m6<?i;o06a?7132e:>;4?:%06b?76n2d99h4>7:9l573=83.99k4>1g9m60c=9110c<<;:18'60`=98l0b?;j:0;8?j75;3:1(?;i:03e?k42m3;j76a>2383>!42n3;:j6`=5d82f>=h9;;1<7*=5g825c=i:<o1=n54o03g>5<#:<l1=<h4n37f>4b<3f;:>7>5$37e>47a3g8>i7?j;:m242<72-8>j7?>f:l11`<6n21d=9<50;&11c<6<81e>8k50:9l516=83.99k4>409m60c=921d=>k50;&11c<6<81e>8k52:9l56b=83.99k4>409m60c=;21d=>m50;&11c<6<81e>8k54:9l56d=83.99k4>409m60c==21d=>o50;&11c<6<81e>8k56:9l56?=83.99k4>409m60c=?21d=>650;&11c<6<81e>8k58:9l561=83.99k4>409m60c=121d=>850;&11c<6<81e>8k5a:9l563=83.99k4>409m60c=j21d=>=50;&11c<6<81e>8k5c:9l564=83.99k4>409m60c=l21d=>?50;&11c<6<81e>8k5e:9l566=83.99k4>409m60c=n21d=?h50;&11c<6<81e>8k51198k44b290/>8h51538j73b28;07b?=d;29 73a28>:7c<:e;31?>i6:j0;6)<:f;375>h5=l0:?65`13`94?"5=o0:8<5a24g951=<g88j6=4+24d9517<f;?n6<;4;n37=?6=,;?m6<:>;o06a?7132e:854?:%06b?7392d99h4>7:9l511=83.99k4>409m60c=9110c<:9:18'60`=9=;0b?;j:0;8?j73=3:1(?;i:062?k42m3;j76a>4583>!42n3;?=6`=5d82f>=h9=91<7*=5g8204=i:<o1=n54o01e>5<#:<l1=9?4n37f>4b<3f;887>5$37e>4263g8>i7?j;:m26<<72-8>j7?;1:l11`<6n21d=9h50;&11c<6<l1e>8k50:9l51b=83.99k4>4d9m60c=921d=9m50;&11c<6<l1e>8k52:9l51d=83.99k4>4d9m60c=;21d=8:50;&11c<6=:1e>8k50:9l504=83.99k4>529m60c=921d=8?50;&11c<6=:1e>8k52:9l506=83.99k4>529m60c=;21vno89:18`6?6=8r.9hk4=bd9K75g<@;o87W86:by13?4?2;k1>o4<3;17>63=;?09i7<i:2597=<z,8kn695+1`d90>"6j90?7)?m1;68 4d52=1/=o=54:&2f1<33-;i97:4$0`5>1=#9k=186*>b987?!7e13>0(<ln:59'5gd=<2.:nn4;;%3a`?2<,8hn695+1cd90>"6k90?7)?l1;68 4e52=1/=n=54:&2g1<33-;h97:4$0a5>1=#9j=186*>c987?!7d13>0(<mn:59'5fd=<2.:on4;;%3``?2<,8in695+1bd90>"6l90?7)?k1;68 4b52=1/=i=54:&2`1<33-;o;7<:8:&2`0<43-;o:7=4$0:`>1=#91n186*>8d87?!7?n3>0(<7?:59'5<7=<2.:h44>7b9'5ag=9>i0(?li:37;?!4d838>46`=d38:?k4c;330(?j6:37;?!7>:3>0(<7<:59'6c4=:o;0(?h<:3d2?k4a<330b?h::89'5<?=<2.:5l4;;%3:f?2<,83h695+18f90>"61l0?7)?6f;68 4g72=1/=l?54:&2e7<33-;j?7:4$0c7>1=#9h?186*>a787?!7f?3>0(<o7:59'5d?=<2.:ml4;;%3bf?2<,8kh695+1`f90>h6:10;7c?;a;28 7b?28=h7)<kb;18 4?22=1/=4854:&2=2<33-;247:4$3f`>6=n>k0;66g9c;29?l162900e:<50;9j52>=831b>i:50;9j6a3=831b=:750;9j6ab=831b>ik50;9l2a<722e=i7>5;h6a>5<#:<l18l5a24g94>=n<00;6)<:f;6b?k42m3;07d:7:18'60`=<h1e>8k52:9j02<72-8>j7:n;o06a?5<3`>=6=4+24d90d=i:<o1865f5583>!42n3>j7c<:e;78?l34290/>8h54`9m60c=>21b9?4?:%06b?2f3g8>i794;h72>5<#:<l18l5a24g9<>=n=90;6)<:f;6b?k42m3307d:i:18'60`=<h1e>8k5a:9j0`<72-8>j7:n;o06a?d<3`>o6=4+24d90d=i:<o1o65f4b83>!42n3>j7c<:e;f8?l22290/>8h54`9m60c=m21b9o4?:%06b?3f3g8>i7>4;h7:>5<#:<l19l5a24g95>=n=10;6)<:f;7b?k42m3807d;8:18'60`==h1e>8k53:9j13<72-8>j7;n;o06a?2<3`<?6=4+24d91d=i:<o1965f6283>!42n3?j7c<:e;48?l05290/>8h55`9m60c=?21b:<4?:%06b?3f3g8>i764;h43>5<#:<l19l5a24g9=>=n=o0;6)<:f;7b?k42m3k07d;j:18'60`==h1e>8k5b:9j1a<72-8>j7;n;o06a?e<3`?h6=4+24d91d=i:<o1h65f5483>!42n3?j7c<:e;g8?ld4290/>8h5b39m60c=821bn<4?:%06b?d53g8>i7?4;hce>5<#:<l1n?5a24g96>=nil0;6)<:f;`1?k42m3907dok:18'60`=j;1e>8k54:9jef<72-8>j7l=;o06a?3<3`ki6=4+24d9f7=i:<o1:65fa`83>!42n3h97c<:e;58?lg>290/>8h5b39m60c=021bm54?:%06b?d53g8>i774;hc4>5<#:<l1n?5a24g9e>=ni?0;6)<:f;`1?k42m3h07do;:18'60`=j;1e>8k5c:9je6<72-8>j7l=;o06a?b<3`k96=4+24d9f7=i:<o1i65fa083>!42n3h97c<:e;d8?lg7290/>8h5b39m60c=9910e4h50;&11c<e:2d99h4>1:9j=`<72-8>j7l=;o06a?7532c2h7>5$37e>g4<f;?n6<=4;h;`>5<#:<l1n?5a24g951=<a0h1<7*=5g8a6>h5=l0:965fb`83>!42n3h97c<:e;35?>oe13:1(?;i:c08j73b28=07dl7:18'60`=j;1e>8k51998mg1=83.99k4m2:l11`<6121bn;4?:%06b?d53g8>i7?n;:ka1?6=,;?m6o<4n37f>4d<3`h?6=4+24d9f7=i:<o1=n54ic294?"5=o0i>6`=5d82`>=ni<0;6)<:f;`1?k42m3;n76g6a;29 73a2k80b?;j:0d8?lb3290/>8h5d29m60c=821bh?4?:%06b?b43g8>i7?4;hf3>5<#:<l1h>5a24g96>=nko0;6)<:f;f0?k42m3907dmj:18'60`=l:1e>8k54:9jga<72-8>j7j<;o06a?3<3`ih6=4+24d9`6=i:<o1:65fcc83>!42n3n87c<:e;58?lef290/>8h5d29m60c=021bo44?:%06b?b43g8>i774;ha;>5<#:<l1h>5a24g9e>=nk>0;6)<:f;f0?k42m3h07dm::18'60`=l:1e>8k5c:9jg1<72-8>j7j<;o06a?b<3`i86=4+24d9`6=i:<o1i65fc383>!42n3n87c<:e;d8?le6290/>8h5d29m60c=9910en>50;&11c<c;2d99h4>1:9jfc<72-8>j7j<;o06a?7532cii7>5$37e>a5<f;?n6<=4;h`g>5<#:<l1h>5a24g951=<aki1<7*=5g8g7>h5=l0:965fdc83>!42n3n87c<:e;35?>oci3:1(?;i:e18j73b28=07dj6:18'60`=l:1e>8k51998ma>=83.99k4k3:l11`<6121bh:4?:%06b?b43g8>i7?n;:kg2?6=,;?m6i=4n37f>4d<3`n>6=4+24d9`6=i:<o1=n54ie394?"5=o0o?6`=5d82`>=nk?0;6)<:f;f0?k42m3;n76gmb;29 73a2m90b?;j:0d8?l7793:1(?;i:023?k42m3:07dhi:18'60`=99:0b?;j:098mcc=83.99k4>019m60c=:21bji4?:%06b?7782d99h4<;:k243<72-8>j7??5:l11`<732c:<94?:%06b?77=2d99h4>;:k246<72-8>j7??5:l11`<532c:<?4?:%06b?77=2d99h4<;:k1ag<72-8>j7<ja:l11`<732c9i44?:%06b?4bi2d99h4>;:k1a=<72-8>j7<ja:l11`<532c9i:4?:%06b?4bi2d99h4<;:k1b5<72-8>j7<jf:l11`<732c9ih4?:%06b?4bn2d99h4>;:k1aa<72-8>j7<jf:l11`<532c9in4?:%06b?4bn2d99h4<;:m265<72-8>j7?>f:l11`<732e:=h4?:%06b?76n2d99h4>;:m25f<72-8>j7?>f:l11`<532e:=o4?:%06b?76n2d99h4<;:m25d<72-8>j7?>f:l11`<332e:=44?:%06b?76n2d99h4:;:m25=<72-8>j7?>f:l11`<132e:=:4?:%06b?76n2d99h48;:m253<72-8>j7?>f:l11`<?32e:=84?:%06b?76n2d99h46;:m251<72-8>j7?>f:l11`<f32e:=>4?:%06b?76n2d99h4m;:m254<72-8>j7?>f:l11`<d32e:==4?:%06b?76n2d99h4k;:m24c<72-8>j7?>f:l11`<b32e:<h4?:%06b?76n2d99h4i;:m24a<72-8>j7?>f:l11`<6821d==m50;&11c<69o1e>8k51098k46e290/>8h510d8j73b28807b??a;29 73a28;m7c<:e;30?>i6800;6)<:f;32b>h5=l0:865`11:94?"5=o0:=k5a24g950=<g88<6=4+24d954`<f;?n6<84;n312?6=,;?m6<?i;o06a?7032e:>84?:%06b?76n2d99h4>8:9l572=83.99k4>1g9m60c=9010c<<<:18'60`=98l0b?;j:0c8?j75:3:1(?;i:03e?k42m3;i76a>2083>!42n3;:j6`=5d82g>=h98n1<7*=5g825c=i:<o1=i54o031>5<#:<l1=<h4n37f>4c<3f;;;7>5$37e>47a3g8>i7?i;:m207<72-8>j7?;1:l11`<732e:8=4?:%06b?7392d99h4>;:m27`<72-8>j7?;1:l11`<532e:?i4?:%06b?7392d99h4<;:m27f<72-8>j7?;1:l11`<332e:?o4?:%06b?7392d99h4:;:m27d<72-8>j7?;1:l11`<132e:?44?:%06b?7392d99h48;:m27=<72-8>j7?;1:l11`<?32e:?:4?:%06b?7392d99h46;:m273<72-8>j7?;1:l11`<f32e:?84?:%06b?7392d99h4m;:m276<72-8>j7?;1:l11`<d32e:??4?:%06b?7392d99h4k;:m274<72-8>j7?;1:l11`<b32e:?=4?:%06b?7392d99h4i;:m26c<72-8>j7?;1:l11`<6821d=?k50;&11c<6<81e>8k51098k44c290/>8h51538j73b28807b?=c;29 73a28>:7c<:e;30?>i6:k0;6)<:f;375>h5=l0:865`13c94?"5=o0:8<5a24g950=<g8>26=4+24d9517<f;?n6<84;n37<?6=,;?m6<:>;o06a?7032e:8:4?:%06b?7392d99h4>8:9l510=83.99k4>409m60c=9010c<:::18'60`=9=;0b?;j:0c8?j73<3:1(?;i:062?k42m3;i76a>4283>!42n3;?=6`=5d82g>=h9:l1<7*=5g8204=i:<o1=i54o017>5<#:<l1=9?4n37f>4c<3f;957>5$37e>4263g8>i7?i;:m20c<72-8>j7?;e:l11`<732e:8i4?:%06b?73m2d99h4>;:m20f<72-8>j7?;e:l11`<532e:8o4?:%06b?73m2d99h4<;:m211<72-8>j7?:3:l11`<732e:9?4?:%06b?72;2d99h4>;:m214<72-8>j7?:3:l11`<532e:9=4?:%06b?72;2d99h4<;:\7faf31=83i96=4?{%0gb?4em2B8<l5G2d18^3?=kr8<6?652`81f?542:>1?84<6;0f>7`=;>0847s+1`g90>"6io0?7)?m0;68 4d62=1/=o<54:&2f6<33-;i87:4$0`6>1=#9k<186*>b687?!7e03>0(<l6:59'5gg=<2.:no4;;%3ag?2<,8ho695+1cg90>"6jo0?7)?l0;68 4e62=1/=n<54:&2g6<33-;h87:4$0a6>1=#9j<186*>c687?!7d03>0(<m6:59'5fg=<2.:oo4;;%3`g?2<,8io695+1bg90>"6ko0?7)?k0;68 4b62=1/=i<54:&2`6<33-;o87:4$0f4>73?3-;o97=4$0f5>6=#91i186*>8e87?!7?m3>0(<6i:59'5<6=<2.:5<4;;%3g=?70k2.:hl4>7b9'6g`=:<20(?m?:37;?k4c:330b?j<:89'6a?=:<20(<7=:59'5<5=<2.9j?4=f09'6c5=:o;0b?h;:89m6c3=12.:544;;%3:e?2<,83i695+18a90>"61m0?7)?6e;68 4?a2=1/=l>54:&2e4<33-;j>7:4$0c0>1=#9h>186*>a487?!7f>3>0(<o8:59'5d>=<2.:m44;;%3be?2<,8ki695+1`a90>"6im0?7c?=8;28j42f291/>i6516a8 7be2:1/=4;54:&2=3<33-;2;7:4$0;;>1=#:mi1?6g9b;29?l0d2900e:?50;9j37<722c:;54?::k1`1<722c9h84?::k23<<722c9hi4?::k1``<722e=h7>5;n4f>5<<a=h1<7*=5g87e>h5=l0;76g;9;29 73a2=k0b?;j:098m1>=83.99k4;a:l11`<532c?;7>5$37e>1g<f;?n6>54i5494?"5=o0?m6`=5d87?>o2<3:1(?;i:5c8j73b2<10e8=50;&11c<3i2d99h49;:k66?6=,;?m69o4n37f>2=<a<;1<7*=5g87e>h5=l0376g:0;29 73a2=k0b?;j:898m1`=83.99k4;a:l11`<f32c?i7>5$37e>1g<f;?n6o54i5f94?"5=o0?m6`=5d8`?>o3k3:1(?;i:5c8j73b2m10e9;50;&11c<3i2d99h4j;:k6f?6=,;?m68o4n37f>5=<a<31<7*=5g86e>h5=l0:76g:8;29 73a2<k0b?;j:398m01=83.99k4:a:l11`<432c>:7>5$37e>0g<f;?n6954i7694?"5=o0>m6`=5d86?>o1;3:1(?;i:4c8j73b2?10e;<50;&11c<2i2d99h48;:k55?6=,;?m68o4n37f>==<a?:1<7*=5g86e>h5=l0276g:f;29 73a2<k0b?;j:`98m0c=83.99k4:a:l11`<e32c>h7>5$37e>0g<f;?n6n54i4a94?"5=o0>m6`=5d8g?>o2=3:1(?;i:4c8j73b2l10eo=50;&11c<e:2d99h4?;:ka5?6=,;?m6o<4n37f>4=<ahl1<7*=5g8a6>h5=l0976gne;29 73a2k80b?;j:298mdb=83.99k4m2:l11`<332cjo7>5$37e>g4<f;?n6854i``94?"5=o0i>6`=5d85?>ofi3:1(?;i:c08j73b2>10el750;&11c<e:2d99h47;:kb<?6=,;?m6o<4n37f><=<ah=1<7*=5g8a6>h5=l0j76gn6;29 73a2k80b?;j:c98md2=83.99k4m2:l11`<d32cj?7>5$37e>g4<f;?n6i54i`094?"5=o0i>6`=5d8f?>of93:1(?;i:c08j73b2o10el>50;&11c<e:2d99h4>0:9j=c<72-8>j7l=;o06a?7632c2i7>5$37e>g4<f;?n6<<4;h;g>5<#:<l1n?5a24g956=<a0i1<7*=5g8a6>h5=l0:865f9c83>!42n3h97c<:e;36?>oei3:1(?;i:c08j73b28<07dl6:18'60`=j;1e>8k51698mg>=83.99k4m2:l11`<6021bn:4?:%06b?d53g8>i7?6;:ka2?6=,;?m6o<4n37f>4g<3`h>6=4+24d9f7=i:<o1=o54ic694?"5=o0i>6`=5d82g>=nj90;6)<:f;`1?k42m3;o76gn5;29 73a2k80b?;j:0g8?l?f290/>8h5b39m60c=9o10ei:50;&11c<c;2d99h4?;:kg6?6=,;?m6i=4n37f>4=<am:1<7*=5g8g7>h5=l0976glf;29 73a2m90b?;j:298mfc=83.99k4k3:l11`<332chh7>5$37e>a5<f;?n6854iba94?"5=o0o?6`=5d85?>odj3:1(?;i:e18j73b2>10eno50;&11c<c;2d99h47;:k`=?6=,;?m6i=4n37f><=<aj21<7*=5g8g7>h5=l0j76gl7;29 73a2m90b?;j:c98mf3=83.99k4k3:l11`<d32ch87>5$37e>a5<f;?n6i54ib194?"5=o0o?6`=5d8f?>od:3:1(?;i:e18j73b2o10en?50;&11c<c;2d99h4>0:9jg5<72-8>j7j<;o06a?7632cij7>5$37e>a5<f;?n6<<4;h`f>5<#:<l1h>5a24g956=<akn1<7*=5g8g7>h5=l0:865fbb83>!42n3n87c<:e;36?>ocj3:1(?;i:e18j73b28<07djn:18'60`=l:1e>8k51698ma?=83.99k4k3:l11`<6021bh54?:%06b?b43g8>i7?6;:kg3?6=,;?m6i=4n37f>4g<3`n=6=4+24d9`6=i:<o1=o54ie794?"5=o0o?6`=5d82g>=nl80;6)<:f;f0?k42m3;o76gl6;29 73a2m90b?;j:0g8?lde290/>8h5d29m60c=9o10e<>>:18'60`=99:0b?;j:198mc`=83.99k4>019m60c=921bjh4?:%06b?7782d99h4=;:ke`?6=,;?m6<>?;o06a?5<3`;;:7>5$37e>4623g8>i7>4;h330?6=,;?m6<>:;o06a?7<3`;;?7>5$37e>4623g8>i7<4;h336?6=,;?m6<>:;o06a?5<3`8nn7>5$37e>7cf3g8>i7>4;h0f=?6=,;?m6?kn;o06a?7<3`8n47>5$37e>7cf3g8>i7<4;h0f3?6=,;?m6?kn;o06a?5<3`8m<7>5$37e>7ca3g8>i7>4;h0fa?6=,;?m6?ki;o06a?7<3`8nh7>5$37e>7ca3g8>i7<4;h0fg?6=,;?m6?ki;o06a?5<3f;9<7>5$37e>47a3g8>i7>4;n32a?6=,;?m6<?i;o06a?7<3f;:o7>5$37e>47a3g8>i7<4;n32f?6=,;?m6<?i;o06a?5<3f;:m7>5$37e>47a3g8>i7:4;n32=?6=,;?m6<?i;o06a?3<3f;:47>5$37e>47a3g8>i784;n323?6=,;?m6<?i;o06a?1<3f;::7>5$37e>47a3g8>i764;n321?6=,;?m6<?i;o06a??<3f;:87>5$37e>47a3g8>i7o4;n327?6=,;?m6<?i;o06a?d<3f;:=7>5$37e>47a3g8>i7m4;n324?6=,;?m6<?i;o06a?b<3f;;j7>5$37e>47a3g8>i7k4;n33a?6=,;?m6<?i;o06a?`<3f;;h7>5$37e>47a3g8>i7??;:m24f<72-8>j7?>f:l11`<6921d==l50;&11c<69o1e>8k51398k46f290/>8h510d8j73b28907b??9;29 73a28;m7c<:e;37?>i6810;6)<:f;32b>h5=l0:965`13594?"5=o0:=k5a24g953=<g88=6=4+24d954`<f;?n6<94;n311?6=,;?m6<?i;o06a?7?32e:>94?:%06b?76n2d99h4>9:9l575=83.99k4>1g9m60c=9h10c<<=:18'60`=98l0b?;j:0`8?j7593:1(?;i:03e?k42m3;h76a>1e83>!42n3;:j6`=5d82`>=h9881<7*=5g825c=i:<o1=h54o024>5<#:<l1=<h4n37f>4`<3f;?>7>5$37e>4263g8>i7>4;n374?6=,;?m6<:>;o06a?7<3f;8i7>5$37e>4263g8>i7<4;n30`?6=,;?m6<:>;o06a?5<3f;8o7>5$37e>4263g8>i7:4;n30f?6=,;?m6<:>;o06a?3<3f;8m7>5$37e>4263g8>i784;n30=?6=,;?m6<:>;o06a?1<3f;847>5$37e>4263g8>i764;n303?6=,;?m6<:>;o06a??<3f;8:7>5$37e>4263g8>i7o4;n301?6=,;?m6<:>;o06a?d<3f;8?7>5$37e>4263g8>i7m4;n306?6=,;?m6<:>;o06a?b<3f;8=7>5$37e>4263g8>i7k4;n304?6=,;?m6<:>;o06a?`<3f;9j7>5$37e>4263g8>i7??;:m26`<72-8>j7?;1:l11`<6921d=?j50;&11c<6<81e>8k51398k44d290/>8h51538j73b28907b?=b;29 73a28>:7c<:e;37?>i6:h0;6)<:f;375>h5=l0:965`15;94?"5=o0:8<5a24g953=<g8>36=4+24d9517<f;?n6<94;n373?6=,;?m6<:>;o06a?7?32e:8;4?:%06b?7392d99h4>9:9l513=83.99k4>409m60c=9h10c<:;:18'60`=9=;0b?;j:0`8?j73;3:1(?;i:062?k42m3;h76a>3g83>!42n3;?=6`=5d82`>=h9:>1<7*=5g8204=i:<o1=h54o00:>5<#:<l1=9?4n37f>4`<3f;?j7>5$37e>42b3g8>i7>4;n37`?6=,;?m6<:j;o06a?7<3f;?o7>5$37e>42b3g8>i7<4;n37f?6=,;?m6<:j;o06a?5<3f;>87>5$37e>4343g8>i7>4;n366?6=,;?m6<;<;o06a?7<3f;>=7>5$37e>4343g8>i7<4;n364?6=,;?m6<;<;o06a?5<3thi:54?:b094?6|,;nm6?lj;I13e>N5m:1Q:44l{3596=<5i38i6>=535801?512;o1>k4<7;1;>x"6il0?7)?nf;68 4d72=1/=o?54:&2f7<33-;i?7:4$0`7>1=#9k?186*>b787?!7e?3>0(<l7:59'5g?=<2.:nl4;;%3af?2<,8hh695+1cf90>"6jl0?7)?mf;68 4e72=1/=n?54:&2g7<33-;h?7:4$0a7>1=#9j?186*>c787?!7d?3>0(<m7:59'5f?=<2.:ol4;;%3`f?2<,8ih695+1bf90>"6kl0?7)?lf;68 4b72=1/=i?54:&2`7<33-;o?7:4$0f7>1=#9m=1>864$0f6>6=#9m<1?6*>8b87?!7?l3>0(<6j:59'5=`=<2.:5=4;;%3:5?2<,8n26<9l;%3ge?70k2.9nk4=599'6f6=:<20b?j=:89m6a5=12.9h44=599'5<4=<2.:5>4;;%0e6?4a92.9j>4=f09m6c2=12d9j846;%3:=?2<,83j695+18`90>"61j0?7)?6d;68 4?b2=1/=4h54:&2e5<33-;j=7:4$0c1>1=#9h9186*>a587?!7f=3>0(<o9:59'5d1=<2.:m54;;%3b=?2<,8kj695+1``90>"6ij0?7)?nd;68j44?291e=9o50:&1`=<6?j1/>il53:&2=0<33-;2:7:4$0;4>1=#902186*=db80?l0e2900e;m50;9j34<722c<>7>5;h34<?6=3`8o87>5;h0g1?6=3`;<57>5;h0g`?6=3`8oi7>5;n4g>5<<g?o1<75f4c83>!42n3>j7c<:e;28?l2>290/>8h54`9m60c=921b854?:%06b?2f3g8>i7<4;h64>5<#:<l18l5a24g97>=n<?0;6)<:f;6b?k42m3>07d;;:18'60`=<h1e>8k55:9j16<72-8>j7:n;o06a?0<3`?96=4+24d90d=i:<o1;65f5083>!42n3>j7c<:e;:8?l37290/>8h54`9m60c=121b8k4?:%06b?2f3g8>i7o4;h6f>5<#:<l18l5a24g9f>=n<m0;6)<:f;6b?k42m3i07d:l:18'60`=<h1e>8k5d:9j00<72-8>j7:n;o06a?c<3`?i6=4+24d91d=i:<o1<65f5883>!42n3?j7c<:e;38?l3?290/>8h55`9m60c=:21b9:4?:%06b?3f3g8>i7=4;h75>5<#:<l19l5a24g90>=n>=0;6)<:f;7b?k42m3?07d8<:18'60`==h1e>8k56:9j27<72-8>j7;n;o06a?1<3`<:6=4+24d91d=i:<o1465f6183>!42n3?j7c<:e;;8?l3a290/>8h55`9m60c=i21b9h4?:%06b?3f3g8>i7l4;h7g>5<#:<l19l5a24g9g>=n=j0;6)<:f;7b?k42m3n07d;::18'60`==h1e>8k5e:9jf6<72-8>j7l=;o06a?6<3`h:6=4+24d9f7=i:<o1=65fag83>!42n3h97c<:e;08?lgb290/>8h5b39m60c=;21bmi4?:%06b?d53g8>i7:4;hc`>5<#:<l1n?5a24g91>=nik0;6)<:f;`1?k42m3<07don:18'60`=j;1e>8k57:9je<<72-8>j7l=;o06a?><3`k36=4+24d9f7=i:<o1565fa683>!42n3h97c<:e;c8?lg1290/>8h5b39m60c=j21bm94?:%06b?d53g8>i7m4;hc0>5<#:<l1n?5a24g9`>=ni;0;6)<:f;`1?k42m3o07do>:18'60`=j;1e>8k5f:9je5<72-8>j7l=;o06a?7732c2j7>5$37e>g4<f;?n6<?4;h;f>5<#:<l1n?5a24g957=<a0n1<7*=5g8a6>h5=l0:?65f9b83>!42n3h97c<:e;37?>o>j3:1(?;i:c08j73b28?07dln:18'60`=j;1e>8k51798mg?=83.99k4m2:l11`<6?21bn54?:%06b?d53g8>i7?7;:ka3?6=,;?m6o<4n37f>4?<3`h=6=4+24d9f7=i:<o1=l54ic794?"5=o0i>6`=5d82f>=nj=0;6)<:f;`1?k42m3;h76gm0;29 73a2k80b?;j:0f8?lg2290/>8h5b39m60c=9l10e4o50;&11c<e:2d99h4>f:9j`1<72-8>j7j<;o06a?6<3`n96=4+24d9`6=i:<o1=65fd183>!42n3n87c<:e;08?lea290/>8h5d29m60c=;21boh4?:%06b?b43g8>i7:4;hag>5<#:<l1h>5a24g91>=nkj0;6)<:f;f0?k42m3<07dmm:18'60`=l:1e>8k57:9jgd<72-8>j7j<;o06a?><3`i26=4+24d9`6=i:<o1565fc983>!42n3n87c<:e;c8?le0290/>8h5d29m60c=j21bo84?:%06b?b43g8>i7m4;ha7>5<#:<l1h>5a24g9`>=nk:0;6)<:f;f0?k42m3o07dm=:18'60`=l:1e>8k5f:9jg4<72-8>j7j<;o06a?7732ch<7>5$37e>a5<f;?n6<?4;h`e>5<#:<l1h>5a24g957=<ako1<7*=5g8g7>h5=l0:?65fbe83>!42n3n87c<:e;37?>oek3:1(?;i:e18j73b28?07djm:18'60`=l:1e>8k51798mag=83.99k4k3:l11`<6?21bh44?:%06b?b43g8>i7?7;:kg<?6=,;?m6i=4n37f>4?<3`n<6=4+24d9`6=i:<o1=l54ie494?"5=o0o?6`=5d82f>=nl<0;6)<:f;f0?k42m3;h76gk1;29 73a2m90b?;j:0f8?le1290/>8h5d29m60c=9l10eol50;&11c<c;2d99h4>f:9j557=83.99k4>019m60c=821bjk4?:%06b?7782d99h4>;:kea?6=,;?m6<>?;o06a?4<3`lo6=4+24d9556<f;?n6>54i025>5<#:<l1==;4n37f>5=<a8:?6=4+24d9553<f;?n6<54i020>5<#:<l1==;4n37f>7=<a8:96=4+24d9553<f;?n6>54i3ga>5<#:<l1>ho4n37f>5=<a;o26=4+24d96`g<f;?n6<54i3g;>5<#:<l1>ho4n37f>7=<a;o<6=4+24d96`g<f;?n6>54i3d3>5<#:<l1>hh4n37f>5=<a;on6=4+24d96``<f;?n6<54i3gg>5<#:<l1>hh4n37f>7=<a;oh6=4+24d96``<f;?n6>54o003>5<#:<l1=<h4n37f>5=<g8;n6=4+24d954`<f;?n6<54o03`>5<#:<l1=<h4n37f>7=<g8;i6=4+24d954`<f;?n6>54o03b>5<#:<l1=<h4n37f>1=<g8;26=4+24d954`<f;?n6854o03;>5<#:<l1=<h4n37f>3=<g8;<6=4+24d954`<f;?n6:54o035>5<#:<l1=<h4n37f>==<g8;>6=4+24d954`<f;?n6454o037>5<#:<l1=<h4n37f>d=<g8;86=4+24d954`<f;?n6o54o032>5<#:<l1=<h4n37f>f=<g8;;6=4+24d954`<f;?n6i54o02e>5<#:<l1=<h4n37f>`=<g8:n6=4+24d954`<f;?n6k54o02g>5<#:<l1=<h4n37f>46<3f;;o7>5$37e>47a3g8>i7?>;:m24g<72-8>j7?>f:l11`<6:21d==o50;&11c<69o1e>8k51298k46>290/>8h510d8j73b28>07b??8;29 73a28;m7c<:e;36?>i6:>0;6)<:f;32b>h5=l0::65`13494?"5=o0:=k5a24g952=<g88>6=4+24d954`<f;?n6<64;n310?6=,;?m6<?i;o06a?7>32e:>>4?:%06b?76n2d99h4>a:9l574=83.99k4>1g9m60c=9k10c<<>:18'60`=98l0b?;j:0a8?j76l3:1(?;i:03e?k42m3;o76a>1383>!42n3;:j6`=5d82a>=h99=1<7*=5g825c=i:<o1=k54o061>5<#:<l1=9?4n37f>5=<g8>;6=4+24d9517<f;?n6<54o01f>5<#:<l1=9?4n37f>7=<g89o6=4+24d9517<f;?n6>54o01`>5<#:<l1=9?4n37f>1=<g89i6=4+24d9517<f;?n6854o01b>5<#:<l1=9?4n37f>3=<g8926=4+24d9517<f;?n6:54o01;>5<#:<l1=9?4n37f>==<g89<6=4+24d9517<f;?n6454o015>5<#:<l1=9?4n37f>d=<g89>6=4+24d9517<f;?n6o54o010>5<#:<l1=9?4n37f>f=<g8996=4+24d9517<f;?n6i54o012>5<#:<l1=9?4n37f>`=<g89;6=4+24d9517<f;?n6k54o00e>5<#:<l1=9?4n37f>46<3f;9i7>5$37e>4263g8>i7?>;:m26a<72-8>j7?;1:l11`<6:21d=?m50;&11c<6<81e>8k51298k44e290/>8h51538j73b28>07b?=a;29 73a28>:7c<:e;36?>i6<00;6)<:f;375>h5=l0::65`15:94?"5=o0:8<5a24g952=<g8><6=4+24d9517<f;?n6<64;n372?6=,;?m6<:>;o06a?7>32e:884?:%06b?7392d99h4>a:9l512=83.99k4>409m60c=9k10c<:<:18'60`=9=;0b?;j:0a8?j74n3:1(?;i:062?k42m3;o76a>3583>!42n3;?=6`=5d82a>=h9;31<7*=5g8204=i:<o1=k54o06e>5<#:<l1=9k4n37f>5=<g8>o6=4+24d951c<f;?n6<54o06`>5<#:<l1=9k4n37f>7=<g8>i6=4+24d951c<f;?n6>54o077>5<#:<l1=8=4n37f>5=<g8?96=4+24d9505<f;?n6<54o072>5<#:<l1=8=4n37f>7=<g8?;6=4+24d9505<f;?n6>54}c`5=?6=k;0;6=u+2ed96gc<@::j7E<j3:X5=?e|:>0947<n:3`976<4<39>6>852d81b?502:21q)?ne;68 4ga2=1/=o>54:&2f4<33-;i>7:4$0`0>1=#9k>186*>b487?!7e>3>0(<l8:59'5g>=<2.:n44;;%3ae?2<,8hi695+1ca90>"6jm0?7)?me;68 4da2=1/=n>54:&2g4<33-;h>7:4$0a0>1=#9j>186*>c487?!7d>3>0(<m8:59'5f>=<2.:o44;;%3`e?2<,8ii695+1ba90>"6km0?7)?le;68 4ea2=1/=i>54:&2`4<33-;o>7:4$0f0>1=#9m>186*>d6811==#9m?1?6*>d780?!7?k3>0(<6k:59'5=c=<2.:4k4;;%3:4?2<,83:695+1e;952e<,8nj6<9l;%0ab?4202.9o=4=599m6a4=12d9h>46;%0g=?4202.:5?4;;%3:7?2<,;l96?h>;%0e7?4a92d9j946;o0e1??<,832695+18c90>"61k0?7)?6c;68 4?c2=1/=4k54:&2=c<33-;j<7:4$0c2>1=#9h8186*>a287?!7f<3>0(<o::59'5d0=<2.:m:4;;%3b<?2<,8k2695+1`c90>"6ik0?7)?nc;68 4gc2=1e=?650:l20d<73-8o47?8c:&1`g<43-;297:4$0;5>1=#90=186*>9987?!4ck390e;l50;9j2f<722c<=7>5;h51>5<<a8=36=44i3f7>5<<a;n>6=44i05:>5<<a;no6=44i3ff>5<<g?n1<75`6d83>>o3j3:1(?;i:5c8j73b2910e9750;&11c<3i2d99h4>;:k7<?6=,;?m69o4n37f>7=<a==1<7*=5g87e>h5=l0876g;6;29 73a2=k0b?;j:598m02=83.99k4;a:l11`<232c>?7>5$37e>1g<f;?n6;54i4094?"5=o0?m6`=5d84?>o293:1(?;i:5c8j73b2110e8>50;&11c<3i2d99h46;:k7b?6=,;?m69o4n37f>d=<a=o1<7*=5g87e>h5=l0i76g;d;29 73a2=k0b?;j:b98m1e=83.99k4;a:l11`<c32c?97>5$37e>1g<f;?n6h54i4`94?"5=o0>m6`=5d83?>o213:1(?;i:4c8j73b2810e8650;&11c<2i2d99h4=;:k63?6=,;?m68o4n37f>6=<a<<1<7*=5g86e>h5=l0?76g94;29 73a2<k0b?;j:498m35=83.99k4:a:l11`<132c=>7>5$37e>0g<f;?n6:54i7394?"5=o0>m6`=5d8;?>o183:1(?;i:4c8j73b2010e8h50;&11c<2i2d99h4n;:k6a?6=,;?m68o4n37f>g=<a<n1<7*=5g86e>h5=l0h76g:c;29 73a2<k0b?;j:e98m03=83.99k4:a:l11`<b32ci?7>5$37e>g4<f;?n6=54ic394?"5=o0i>6`=5d82?>ofn3:1(?;i:c08j73b2;10elk50;&11c<e:2d99h4<;:kb`?6=,;?m6o<4n37f>1=<ahi1<7*=5g8a6>h5=l0>76gnb;29 73a2k80b?;j:798mdg=83.99k4m2:l11`<032cj57>5$37e>g4<f;?n6554i`:94?"5=o0i>6`=5d8:?>of?3:1(?;i:c08j73b2h10el850;&11c<e:2d99h4m;:kb0?6=,;?m6o<4n37f>f=<ah91<7*=5g8a6>h5=l0o76gn2;29 73a2k80b?;j:d98md7=83.99k4m2:l11`<a32cj<7>5$37e>g4<f;?n6<>4;h;e>5<#:<l1n?5a24g954=<a0o1<7*=5g8a6>h5=l0:>65f9e83>!42n3h97c<:e;30?>o>k3:1(?;i:c08j73b28>07d7m:18'60`=j;1e>8k51498mgg=83.99k4m2:l11`<6>21bn44?:%06b?d53g8>i7?8;:ka<?6=,;?m6o<4n37f>4><3`h<6=4+24d9f7=i:<o1=454ic494?"5=o0i>6`=5d82e>=nj<0;6)<:f;`1?k42m3;i76gm4;29 73a2k80b?;j:0a8?ld7290/>8h5b39m60c=9m10el;50;&11c<e:2d99h4>e:9j=d<72-8>j7l=;o06a?7a32co87>5$37e>a5<f;?n6=54ie094?"5=o0o?6`=5d82?>oc83:1(?;i:e18j73b2;10enh50;&11c<c;2d99h4<;:k`a?6=,;?m6i=4n37f>1=<ajn1<7*=5g8g7>h5=l0>76glc;29 73a2m90b?;j:798mfd=83.99k4k3:l11`<032chm7>5$37e>a5<f;?n6554ib;94?"5=o0o?6`=5d8:?>od03:1(?;i:e18j73b2h10en950;&11c<c;2d99h4m;:k`1?6=,;?m6i=4n37f>f=<aj>1<7*=5g8g7>h5=l0o76gl3;29 73a2m90b?;j:d98mf4=83.99k4k3:l11`<a32ch=7>5$37e>a5<f;?n6<>4;ha3>5<#:<l1h>5a24g954=<akl1<7*=5g8g7>h5=l0:>65fbd83>!42n3n87c<:e;30?>oel3:1(?;i:e18j73b28>07dll:18'60`=l:1e>8k51498mad=83.99k4k3:l11`<6>21bhl4?:%06b?b43g8>i7?8;:kg=?6=,;?m6i=4n37f>4><3`n36=4+24d9`6=i:<o1=454ie594?"5=o0o?6`=5d82e>=nl?0;6)<:f;f0?k42m3;i76gk5;29 73a2m90b?;j:0a8?lb6290/>8h5d29m60c=9m10en850;&11c<c;2d99h4>e:9jfg<72-8>j7j<;o06a?7a32c:<<4?:%06b?7782d99h4?;:keb?6=,;?m6<>?;o06a?7<3`ln6=4+24d9556<f;?n6?54igf94?"5=o0:<=5a24g97>=n99<1<7*=5g8240=i:<o1<65f11694?"5=o0:<85a24g95>=n9991<7*=5g8240=i:<o1>65f11094?"5=o0:<85a24g97>=n:lh1<7*=5g81ad=i:<o1<65f2d;94?"5=o09il5a24g95>=n:l21<7*=5g81ad=i:<o1>65f2d594?"5=o09il5a24g97>=n:o:1<7*=5g81ac=i:<o1<65f2dg94?"5=o09ik5a24g95>=n:ln1<7*=5g81ac=i:<o1>65f2da94?"5=o09ik5a24g97>=h9;:1<7*=5g825c=i:<o1<65`10g94?"5=o0:=k5a24g95>=h98i1<7*=5g825c=i:<o1>65`10`94?"5=o0:=k5a24g97>=h98k1<7*=5g825c=i:<o1865`10;94?"5=o0:=k5a24g91>=h9821<7*=5g825c=i:<o1:65`10594?"5=o0:=k5a24g93>=h98<1<7*=5g825c=i:<o1465`10794?"5=o0:=k5a24g9=>=h98>1<7*=5g825c=i:<o1m65`10194?"5=o0:=k5a24g9f>=h98;1<7*=5g825c=i:<o1o65`10294?"5=o0:=k5a24g9`>=h99l1<7*=5g825c=i:<o1i65`11g94?"5=o0:=k5a24g9b>=h99n1<7*=5g825c=i:<o1==54o02`>5<#:<l1=<h4n37f>47<3f;;n7>5$37e>47a3g8>i7?=;:m24d<72-8>j7?>f:l11`<6;21d==750;&11c<69o1e>8k51598k46?290/>8h510d8j73b28?07b?=7;29 73a28;m7c<:e;35?>i6:?0;6)<:f;32b>h5=l0:;65`13794?"5=o0:=k5a24g95==<g88?6=4+24d954`<f;?n6<74;n317?6=,;?m6<?i;o06a?7f32e:>?4?:%06b?76n2d99h4>b:9l577=83.99k4>1g9m60c=9j10c<?k:18'60`=98l0b?;j:0f8?j76:3:1(?;i:03e?k42m3;n76a>0683>!42n3;:j6`=5d82b>=h9=81<7*=5g8204=i:<o1<65`15294?"5=o0:8<5a24g95>=h9:o1<7*=5g8204=i:<o1>65`12f94?"5=o0:8<5a24g97>=h9:i1<7*=5g8204=i:<o1865`12`94?"5=o0:8<5a24g91>=h9:k1<7*=5g8204=i:<o1:65`12;94?"5=o0:8<5a24g93>=h9:21<7*=5g8204=i:<o1465`12594?"5=o0:8<5a24g9=>=h9:<1<7*=5g8204=i:<o1m65`12794?"5=o0:8<5a24g9f>=h9:91<7*=5g8204=i:<o1o65`12094?"5=o0:8<5a24g9`>=h9:;1<7*=5g8204=i:<o1i65`12294?"5=o0:8<5a24g9b>=h9;l1<7*=5g8204=i:<o1==54o00f>5<#:<l1=9?4n37f>47<3f;9h7>5$37e>4263g8>i7?=;:m26f<72-8>j7?;1:l11`<6;21d=?l50;&11c<6<81e>8k51598k44f290/>8h51538j73b28?07b?;9;29 73a28>:7c<:e;35?>i6<10;6)<:f;375>h5=l0:;65`15594?"5=o0:8<5a24g95==<g8>=6=4+24d9517<f;?n6<74;n371?6=,;?m6<:>;o06a?7f32e:894?:%06b?7392d99h4>b:9l515=83.99k4>409m60c=9j10c<=i:18'60`=9=;0b?;j:0f8?j74<3:1(?;i:062?k42m3;n76a>2883>!42n3;?=6`=5d82b>=h9=l1<7*=5g820`=i:<o1<65`15f94?"5=o0:8h5a24g95>=h9=i1<7*=5g820`=i:<o1>65`15`94?"5=o0:8h5a24g97>=h9<>1<7*=5g8216=i:<o1<65`14094?"5=o0:9>5a24g95>=h9<;1<7*=5g8216=i:<o1>65`14294?"5=o0:9>5a24g97>=zjk<j6=4l2;294~"5lo09nh5G31c8L7c43S<26nu=7;0;>7g=:k08?7=;:27973<5m38m6>95398~ 4gb2=1/=lh54:&2f5<33-;i=7:4$0`1>1=#9k9186*>b587?!7e=3>0(<l9:59'5g1=<2.:n54;;%3a=?2<,8hj695+1c`90>"6jj0?7)?md;68 4db2=1/=oh54:&2g5<33-;h=7:4$0a1>1=#9j9186*>c587?!7d=3>0(<m9:59'5f1=<2.:o54;;%3`=?2<,8ij695+1b`90>"6kj0?7)?ld;68 4eb2=1/=nh54:&2`5<33-;o=7:4$0f1>1=#9m9186*>d587?!7c?38>46*>d480?!7c>390(<6l:59'5=b=<2.:4h4;;%3;b?2<,83;695+18390>"6l00:;n5+1ec952e<,;hm6?;7;%0`4?4202d9h?46;o0g7??<,;n26?;7;%3:6?2<,838695+2g096c7<,;l86?h>;o0e0??<f;l>645+18;90>"61h0?7)?6b;68 4?d2=1/=4j54:&2=`<33-;2j7:4$0c3>1=#9h;186*>a387?!7f;3>0(<o;:59'5d3=<2.:m;4;;%3b3?2<,8k3695+1`;90>"6ih0?7)?nb;68 4gd2=1/=lj54:l26=<73g;?m7>4$3f;>41d3-8on7=4$0;6>1=#90<186*>9687?!7>03>0(?jl:29j2g<722c=o7>5;h52>5<<a>81<75f16:94?=n:m>1<75f2e794?=n9>31<75f2ef94?=n:mo1<75`6e83>>i1m3:17d:m:18'60`=<h1e>8k50:9j0<<72-8>j7:n;o06a?7<3`>36=4+24d90d=i:<o1>65f4683>!42n3>j7c<:e;18?l21290/>8h54`9m60c=<21b994?:%06b?2f3g8>i7;4;h70>5<#:<l18l5a24g92>=n=;0;6)<:f;6b?k42m3=07d;>:18'60`=<h1e>8k58:9j15<72-8>j7:n;o06a??<3`>m6=4+24d90d=i:<o1m65f4d83>!42n3>j7c<:e;`8?l2c290/>8h54`9m60c=k21b8n4?:%06b?2f3g8>i7j4;h66>5<#:<l18l5a24g9a>=n=k0;6)<:f;7b?k42m3:07d;6:18'60`==h1e>8k51:9j1=<72-8>j7;n;o06a?4<3`?<6=4+24d91d=i:<o1?65f5783>!42n3?j7c<:e;68?l03290/>8h55`9m60c==21b:>4?:%06b?3f3g8>i784;h41>5<#:<l19l5a24g93>=n>80;6)<:f;7b?k42m3207d8?:18'60`==h1e>8k59:9j1c<72-8>j7;n;o06a?g<3`?n6=4+24d91d=i:<o1n65f5e83>!42n3?j7c<:e;a8?l3d290/>8h55`9m60c=l21b984?:%06b?3f3g8>i7k4;h`0>5<#:<l1n?5a24g94>=nj80;6)<:f;`1?k42m3;07doi:18'60`=j;1e>8k52:9je`<72-8>j7l=;o06a?5<3`ko6=4+24d9f7=i:<o1865fab83>!42n3h97c<:e;78?lge290/>8h5b39m60c=>21bml4?:%06b?d53g8>i794;hc:>5<#:<l1n?5a24g9<>=ni10;6)<:f;`1?k42m3307do8:18'60`=j;1e>8k5a:9je3<72-8>j7l=;o06a?d<3`k?6=4+24d9f7=i:<o1o65fa283>!42n3h97c<:e;f8?lg5290/>8h5b39m60c=m21bm<4?:%06b?d53g8>i7h4;hc3>5<#:<l1n?5a24g955=<a0l1<7*=5g8a6>h5=l0:=65f9d83>!42n3h97c<:e;31?>o>l3:1(?;i:c08j73b28907d7l:18'60`=j;1e>8k51598m<d=83.99k4m2:l11`<6=21bnl4?:%06b?d53g8>i7?9;:ka=?6=,;?m6o<4n37f>41<3`h36=4+24d9f7=i:<o1=554ic594?"5=o0i>6`=5d82=>=nj?0;6)<:f;`1?k42m3;j76gm5;29 73a2k80b?;j:0`8?ld3290/>8h5b39m60c=9j10eo>50;&11c<e:2d99h4>d:9je0<72-8>j7l=;o06a?7b32c2m7>5$37e>g4<f;?n6<h4;hf7>5<#:<l1h>5a24g94>=nl;0;6)<:f;f0?k42m3;07dj?:18'60`=l:1e>8k52:9jgc<72-8>j7j<;o06a?5<3`in6=4+24d9`6=i:<o1865fce83>!42n3n87c<:e;78?led290/>8h5d29m60c=>21boo4?:%06b?b43g8>i794;hab>5<#:<l1h>5a24g9<>=nk00;6)<:f;f0?k42m3307dm7:18'60`=l:1e>8k5a:9jg2<72-8>j7j<;o06a?d<3`i>6=4+24d9`6=i:<o1o65fc583>!42n3n87c<:e;f8?le4290/>8h5d29m60c=m21bo?4?:%06b?b43g8>i7h4;ha2>5<#:<l1h>5a24g955=<aj:1<7*=5g8g7>h5=l0:=65fbg83>!42n3n87c<:e;31?>oem3:1(?;i:e18j73b28907dlk:18'60`=l:1e>8k51598mge=83.99k4k3:l11`<6=21bho4?:%06b?b43g8>i7?9;:kge?6=,;?m6i=4n37f>41<3`n26=4+24d9`6=i:<o1=554ie:94?"5=o0o?6`=5d82=>=nl>0;6)<:f;f0?k42m3;j76gk6;29 73a2m90b?;j:0`8?lb2290/>8h5d29m60c=9j10ei?50;&11c<c;2d99h4>d:9jg3<72-8>j7j<;o06a?7b32cin7>5$37e>a5<f;?n6<h4;h335?6=,;?m6<>?;o06a?6<3`lm6=4+24d9556<f;?n6<54igg94?"5=o0:<=5a24g96>=nnm0;6)<:f;334>h5=l0876g>0783>!42n3;;96`=5d83?>o68=0;6)<:f;331>h5=l0:76g>0283>!42n3;;96`=5d81?>o68;0;6)<:f;331>h5=l0876g=ec83>!42n38nm6`=5d83?>o5m00;6)<:f;0fe>h5=l0:76g=e983>!42n38nm6`=5d81?>o5m>0;6)<:f;0fe>h5=l0876g=f183>!42n38nj6`=5d83?>o5ml0;6)<:f;0fb>h5=l0:76g=ee83>!42n38nj6`=5d81?>o5mj0;6)<:f;0fb>h5=l0876a>2183>!42n3;:j6`=5d83?>i69l0;6)<:f;32b>h5=l0:76a>1b83>!42n3;:j6`=5d81?>i69k0;6)<:f;32b>h5=l0876a>1`83>!42n3;:j6`=5d87?>i6900;6)<:f;32b>h5=l0>76a>1983>!42n3;:j6`=5d85?>i69>0;6)<:f;32b>h5=l0<76a>1783>!42n3;:j6`=5d8;?>i69<0;6)<:f;32b>h5=l0276a>1583>!42n3;:j6`=5d8b?>i69:0;6)<:f;32b>h5=l0i76a>1083>!42n3;:j6`=5d8`?>i6990;6)<:f;32b>h5=l0o76a>0g83>!42n3;:j6`=5d8f?>i68l0;6)<:f;32b>h5=l0m76a>0e83>!42n3;:j6`=5d824>=h99i1<7*=5g825c=i:<o1=<54o02a>5<#:<l1=<h4n37f>44<3f;;m7>5$37e>47a3g8>i7?<;:m24<<72-8>j7?>f:l11`<6<21d==650;&11c<69o1e>8k51498k440290/>8h510d8j73b28<07b?=6;29 73a28;m7c<:e;34?>i6:<0;6)<:f;32b>h5=l0:465`13694?"5=o0:=k5a24g95<=<g8886=4+24d954`<f;?n6<o4;n316?6=,;?m6<?i;o06a?7e32e:><4?:%06b?76n2d99h4>c:9l54b=83.99k4>1g9m60c=9m10c<?=:18'60`=98l0b?;j:0g8?j77?3:1(?;i:03e?k42m3;m76a>4383>!42n3;?=6`=5d83?>i6<90;6)<:f;375>h5=l0:76a>3d83>!42n3;?=6`=5d81?>i6;m0;6)<:f;375>h5=l0876a>3b83>!42n3;?=6`=5d87?>i6;k0;6)<:f;375>h5=l0>76a>3`83>!42n3;?=6`=5d85?>i6;00;6)<:f;375>h5=l0<76a>3983>!42n3;?=6`=5d8;?>i6;>0;6)<:f;375>h5=l0276a>3783>!42n3;?=6`=5d8b?>i6;<0;6)<:f;375>h5=l0i76a>3283>!42n3;?=6`=5d8`?>i6;;0;6)<:f;375>h5=l0o76a>3083>!42n3;?=6`=5d8f?>i6;90;6)<:f;375>h5=l0m76a>2g83>!42n3;?=6`=5d824>=h9;o1<7*=5g8204=i:<o1=<54o00g>5<#:<l1=9?4n37f>44<3f;9o7>5$37e>4263g8>i7?<;:m26g<72-8>j7?;1:l11`<6<21d=?o50;&11c<6<81e>8k51498k42>290/>8h51538j73b28<07b?;8;29 73a28>:7c<:e;34?>i6<>0;6)<:f;375>h5=l0:465`15494?"5=o0:8<5a24g95<=<g8>>6=4+24d9517<f;?n6<o4;n370?6=,;?m6<:>;o06a?7e32e:8>4?:%06b?7392d99h4>c:9l56`=83.99k4>409m60c=9m10c<=;:18'60`=9=;0b?;j:0g8?j7513:1(?;i:062?k42m3;m76a>4g83>!42n3;?i6`=5d83?>i6<m0;6)<:f;37a>h5=l0:76a>4b83>!42n3;?i6`=5d81?>i6<k0;6)<:f;37a>h5=l0876a>5583>!42n3;>?6`=5d83?>i6=;0;6)<:f;367>h5=l0:76a>5083>!42n3;>?6`=5d81?>i6=90;6)<:f;367>h5=l0876smb7`94?e5290;w)<kf;0aa>N48h1C>h=4Z7;9g~402;21>l4=b;10>62=;<08:7<j:3d972<403w/=lk54:&2ec<33-;i<7:4$0`2>1=#9k8186*>b287?!7e<3>0(<l::59'5g0=<2.:n:4;;%3a<?2<,8h2695+1cc90>"6jk0?7)?mc;68 4dc2=1/=ok54:&2fc<33-;h<7:4$0a2>1=#9j8186*>c287?!7d<3>0(<m::59'5f0=<2.:o:4;;%3`<?2<,8i2695+1bc90>"6kk0?7)?lc;68 4ec2=1/=nk54:&2gc<33-;o<7:4$0f2>1=#9m8186*>d287?!7c<3>0(<j8:37;?!7c=390(<j9:29'5=e=<2.:4i4;;%3;a?2<,82m695+18290>"6180?7)?k9;34g>"6lh0:;n5+2cd960><,;i;6?;7;o0g6??<f;n8645+2e;960><,839695+18190>"5n;09j<5+2g196c7<f;l?645a2g79=>"6100?7)?6a;68 4?e2=1/=4m54:&2=a<33-;2i7:4$0;e>1=#9h:186*>a087?!7f:3>0(<o<:59'5d2=<2.:m84;;%3b2?2<,8k<695+1`:90>"6i00?7)?na;68 4ge2=1/=lm54:&2ea<33g;947>4n06b>5=#:m21=:m4$3fa>6=#90?186*>9787?!7>?3>0(<77:59'6ae=;2c=n7>5;h4`>5<<a>;1<75f7383>>o6?10;66g=d583>>o5l<0;66g>7883>>o5lm0;66g=dd83>>i1l3:17b8j:188m1d=83.99k4;a:l11`<732c?57>5$37e>1g<f;?n6<54i5:94?"5=o0?m6`=5d81?>o3?3:1(?;i:5c8j73b2:10e9850;&11c<3i2d99h4;;:k60?6=,;?m69o4n37f>0=<a<91<7*=5g87e>h5=l0=76g:2;29 73a2=k0b?;j:698m07=83.99k4;a:l11`<?32c><7>5$37e>1g<f;?n6454i5d94?"5=o0?m6`=5d8b?>o3m3:1(?;i:5c8j73b2k10e9j50;&11c<3i2d99h4l;:k7g?6=,;?m69o4n37f>a=<a=?1<7*=5g87e>h5=l0n76g:b;29 73a2<k0b?;j:198m0?=83.99k4:a:l11`<632c>47>5$37e>0g<f;?n6?54i4594?"5=o0>m6`=5d80?>o2>3:1(?;i:4c8j73b2=10e;:50;&11c<2i2d99h4:;:k57?6=,;?m68o4n37f>3=<a?81<7*=5g86e>h5=l0<76g91;29 73a2<k0b?;j:998m36=83.99k4:a:l11`<>32c>j7>5$37e>0g<f;?n6l54i4g94?"5=o0>m6`=5d8a?>o2l3:1(?;i:4c8j73b2j10e8m50;&11c<2i2d99h4k;:k61?6=,;?m68o4n37f>`=<ak91<7*=5g8a6>h5=l0;76gm1;29 73a2k80b?;j:098md`=83.99k4m2:l11`<532cji7>5$37e>g4<f;?n6>54i`f94?"5=o0i>6`=5d87?>ofk3:1(?;i:c08j73b2<10ell50;&11c<e:2d99h49;:kbe?6=,;?m6o<4n37f>2=<ah31<7*=5g8a6>h5=l0376gn8;29 73a2k80b?;j:898md1=83.99k4m2:l11`<f32cj:7>5$37e>g4<f;?n6o54i`694?"5=o0i>6`=5d8`?>of;3:1(?;i:c08j73b2m10el<50;&11c<e:2d99h4j;:kb5?6=,;?m6o<4n37f>c=<ah:1<7*=5g8a6>h5=l0:<65f9g83>!42n3h97c<:e;32?>o>m3:1(?;i:c08j73b28807d7k:18'60`=j;1e>8k51298m<e=83.99k4m2:l11`<6<21b5o4?:%06b?d53g8>i7?:;:kae?6=,;?m6o<4n37f>40<3`h26=4+24d9f7=i:<o1=:54ic:94?"5=o0i>6`=5d82<>=nj>0;6)<:f;`1?k42m3;276gm6;29 73a2k80b?;j:0c8?ld2290/>8h5b39m60c=9k10eo:50;&11c<e:2d99h4>c:9jf5<72-8>j7l=;o06a?7c32cj97>5$37e>g4<f;?n6<k4;h;b>5<#:<l1n?5a24g95c=<am>1<7*=5g8g7>h5=l0;76gk2;29 73a2m90b?;j:098ma6=83.99k4k3:l11`<532chj7>5$37e>a5<f;?n6>54ibg94?"5=o0o?6`=5d87?>odl3:1(?;i:e18j73b2<10enm50;&11c<c;2d99h49;:k`f?6=,;?m6i=4n37f>2=<ajk1<7*=5g8g7>h5=l0376gl9;29 73a2m90b?;j:898mf>=83.99k4k3:l11`<f32ch;7>5$37e>a5<f;?n6o54ib794?"5=o0o?6`=5d8`?>od<3:1(?;i:e18j73b2m10en=50;&11c<c;2d99h4j;:k`6?6=,;?m6i=4n37f>c=<aj;1<7*=5g8g7>h5=l0:<65fc183>!42n3n87c<:e;32?>oen3:1(?;i:e18j73b28807dlj:18'60`=l:1e>8k51298mgb=83.99k4k3:l11`<6<21bnn4?:%06b?b43g8>i7?:;:kgf?6=,;?m6i=4n37f>40<3`nj6=4+24d9`6=i:<o1=:54ie;94?"5=o0o?6`=5d82<>=nl10;6)<:f;f0?k42m3;276gk7;29 73a2m90b?;j:0c8?lb1290/>8h5d29m60c=9k10ei;50;&11c<c;2d99h4>c:9j`4<72-8>j7j<;o06a?7c32ch:7>5$37e>a5<f;?n6<k4;h`a>5<#:<l1h>5a24g95c=<a8::6=4+24d9556<f;?n6=54igd94?"5=o0:<=5a24g95>=nnl0;6)<:f;334>h5=l0976gid;29 73a28:;7c<:e;18?l77>3:1(?;i:026?k42m3:07d??4;29 73a28:>7c<:e;38?l77;3:1(?;i:026?k42m3807d??2;29 73a28:>7c<:e;18?l4bj3:1(?;i:3gb?k42m3:07d<j9;29 73a2;oj7c<:e;38?l4b03:1(?;i:3gb?k42m3807d<j7;29 73a2;oj7c<:e;18?l4a83:1(?;i:3ge?k42m3:07d<je;29 73a2;om7c<:e;38?l4bl3:1(?;i:3ge?k42m3807d<jc;29 73a2;om7c<:e;18?j7583:1(?;i:03e?k42m3:07b?>e;29 73a28;m7c<:e;38?j76k3:1(?;i:03e?k42m3807b?>b;29 73a28;m7c<:e;18?j76i3:1(?;i:03e?k42m3>07b?>9;29 73a28;m7c<:e;78?j7603:1(?;i:03e?k42m3<07b?>7;29 73a28;m7c<:e;58?j76>3:1(?;i:03e?k42m3207b?>5;29 73a28;m7c<:e;;8?j76<3:1(?;i:03e?k42m3k07b?>3;29 73a28;m7c<:e;`8?j7693:1(?;i:03e?k42m3i07b?>0;29 73a28;m7c<:e;f8?j77n3:1(?;i:03e?k42m3o07b??e;29 73a28;m7c<:e;d8?j77l3:1(?;i:03e?k42m3;;76a>0b83>!42n3;:j6`=5d825>=h99h1<7*=5g825c=i:<o1=?54o02b>5<#:<l1=<h4n37f>45<3f;;57>5$37e>47a3g8>i7?;;:m24=<72-8>j7?>f:l11`<6=21d=?950;&11c<69o1e>8k51798k441290/>8h510d8j73b28=07b?=5;29 73a28;m7c<:e;3;?>i6:=0;6)<:f;32b>h5=l0:565`13194?"5=o0:=k5a24g95d=<g8896=4+24d954`<f;?n6<l4;n315?6=,;?m6<?i;o06a?7d32e:=i4?:%06b?76n2d99h4>d:9l544=83.99k4>1g9m60c=9l10c<>8:18'60`=98l0b?;j:0d8?j73:3:1(?;i:062?k42m3:07b?;0;29 73a28>:7c<:e;38?j74m3:1(?;i:062?k42m3807b?<d;29 73a28>:7c<:e;18?j74k3:1(?;i:062?k42m3>07b?<b;29 73a28>:7c<:e;78?j74i3:1(?;i:062?k42m3<07b?<9;29 73a28>:7c<:e;58?j7403:1(?;i:062?k42m3207b?<7;29 73a28>:7c<:e;;8?j74>3:1(?;i:062?k42m3k07b?<5;29 73a28>:7c<:e;`8?j74;3:1(?;i:062?k42m3i07b?<2;29 73a28>:7c<:e;f8?j7493:1(?;i:062?k42m3o07b?<0;29 73a28>:7c<:e;d8?j75n3:1(?;i:062?k42m3;;76a>2d83>!42n3;?=6`=5d825>=h9;n1<7*=5g8204=i:<o1=?54o00`>5<#:<l1=9?4n37f>45<3f;9n7>5$37e>4263g8>i7?;;:m26d<72-8>j7?;1:l11`<6=21d=9750;&11c<6<81e>8k51798k42?290/>8h51538j73b28=07b?;7;29 73a28>:7c<:e;3;?>i6<?0;6)<:f;375>h5=l0:565`15794?"5=o0:8<5a24g95d=<g8>?6=4+24d9517<f;?n6<l4;n377?6=,;?m6<:>;o06a?7d32e:?k4?:%06b?7392d99h4>d:9l562=83.99k4>409m60c=9l10c<<6:18'60`=9=;0b?;j:0d8?j73n3:1(?;i:06f?k42m3:07b?;d;29 73a28>n7c<:e;38?j73k3:1(?;i:06f?k42m3807b?;b;29 73a28>n7c<:e;18?j72<3:1(?;i:070?k42m3:07b?:2;29 73a28?87c<:e;38?j7293:1(?;i:070?k42m3807b?:0;29 73a28?87c<:e;18?xde>j0;6n<50;2x 7ba2;hn7E=?a:J1a6=]>00hw?952981e?4e2:91?94<5;15>7c=:o08;7=7:|&2e`<33-;jj7:4$0`3>1=#9k;186*>b387?!7e;3>0(<l;:59'5g3=<2.:n;4;;%3a3?2<,8h3695+1c;90>"6jh0?7)?mb;68 4dd2=1/=oj54:&2f`<33-;ij7:4$0a3>1=#9j;186*>c387?!7d;3>0(<m;:59'5f3=<2.:o;4;;%3`3?2<,8i3695+1b;90>"6kh0?7)?lb;68 4ed2=1/=nj54:&2g`<33-;hj7:4$0f3>1=#9m;186*>d387?!7c;3>0(<j;:59'5a1=:<20(<j::29'5a0=;2.:4n4;;%3;`?2<,82n695+19d90>"6190?7)?61;68 4b>28=h7)?ka;34g>"5jo09955+2b2960><f;n9645a2e19=>"5l009955+18090>"61:0?7)<i2;0e5>"5n:09j<5a2g69=>h5n<027)?69;68 4?f2=1/=4l54:&2=f<33-;2h7:4$0;f>1=#90l186*>a187?!7f93>0(<o=:59'5d5=<2.:m94;;%3b1?2<,8k=695+1`590>"6i10?7)?n9;68 4gf2=1/=ll54:&2ef<33-;jh7:4n00;>5=i9=k1<6*=d9823f=#:mh1?6*>9487?!7>>3>0(<78:59'5<>=<2.9hn4<;h4a>5<<a?i1<75f7083>>o0:3:17d?88;29?l4c<3:17d<k5;29?l7013:17d<kd;29?l4cm3:17b8k:188k3c=831b8o4?:%06b?2f3g8>i7>4;h6:>5<#:<l18l5a24g95>=n<10;6)<:f;6b?k42m3807d:8:18'60`=<h1e>8k53:9j03<72-8>j7:n;o06a?2<3`??6=4+24d90d=i:<o1965f5283>!42n3>j7c<:e;48?l35290/>8h54`9m60c=?21b9<4?:%06b?2f3g8>i764;h73>5<#:<l18l5a24g9=>=n<o0;6)<:f;6b?k42m3k07d:j:18'60`=<h1e>8k5b:9j0a<72-8>j7:n;o06a?e<3`>h6=4+24d90d=i:<o1h65f4483>!42n3>j7c<:e;g8?l3e290/>8h55`9m60c=821b944?:%06b?3f3g8>i7?4;h7;>5<#:<l19l5a24g96>=n=>0;6)<:f;7b?k42m3907d;9:18'60`==h1e>8k54:9j21<72-8>j7;n;o06a?3<3`<86=4+24d91d=i:<o1:65f6383>!42n3?j7c<:e;58?l06290/>8h55`9m60c=021b:=4?:%06b?3f3g8>i774;h7e>5<#:<l19l5a24g9e>=n=l0;6)<:f;7b?k42m3h07d;k:18'60`==h1e>8k5c:9j1f<72-8>j7;n;o06a?b<3`?>6=4+24d91d=i:<o1i65fb283>!42n3h97c<:e;28?ld6290/>8h5b39m60c=921bmk4?:%06b?d53g8>i7<4;hcf>5<#:<l1n?5a24g97>=nim0;6)<:f;`1?k42m3>07dol:18'60`=j;1e>8k55:9jeg<72-8>j7l=;o06a?0<3`kj6=4+24d9f7=i:<o1;65fa883>!42n3h97c<:e;:8?lg?290/>8h5b39m60c=121bm:4?:%06b?d53g8>i7o4;hc5>5<#:<l1n?5a24g9f>=ni=0;6)<:f;`1?k42m3i07do<:18'60`=j;1e>8k5d:9je7<72-8>j7l=;o06a?c<3`k:6=4+24d9f7=i:<o1j65fa183>!42n3h97c<:e;33?>o>n3:1(?;i:c08j73b28;07d7j:18'60`=j;1e>8k51398m<b=83.99k4m2:l11`<6;21b5n4?:%06b?d53g8>i7?;;:k:f?6=,;?m6o<4n37f>43<3`hj6=4+24d9f7=i:<o1=;54ic;94?"5=o0i>6`=5d823>=nj10;6)<:f;`1?k42m3;376gm7;29 73a2k80b?;j:0;8?ld1290/>8h5b39m60c=9h10eo;50;&11c<e:2d99h4>b:9jf1<72-8>j7l=;o06a?7d32ci<7>5$37e>g4<f;?n6<j4;hc6>5<#:<l1n?5a24g95`=<a0k1<7*=5g8a6>h5=l0:j65fd583>!42n3n87c<:e;28?lb5290/>8h5d29m60c=921bh=4?:%06b?b43g8>i7<4;hae>5<#:<l1h>5a24g97>=nkl0;6)<:f;f0?k42m3>07dmk:18'60`=l:1e>8k55:9jgf<72-8>j7j<;o06a?0<3`ii6=4+24d9`6=i:<o1;65fc`83>!42n3n87c<:e;:8?le>290/>8h5d29m60c=121bo54?:%06b?b43g8>i7o4;ha4>5<#:<l1h>5a24g9f>=nk<0;6)<:f;f0?k42m3i07dm;:18'60`=l:1e>8k5d:9jg6<72-8>j7j<;o06a?c<3`i96=4+24d9`6=i:<o1j65fc083>!42n3n87c<:e;33?>od83:1(?;i:e18j73b28;07dli:18'60`=l:1e>8k51398mgc=83.99k4k3:l11`<6;21bni4?:%06b?b43g8>i7?;;:kag?6=,;?m6i=4n37f>43<3`ni6=4+24d9`6=i:<o1=;54iec94?"5=o0o?6`=5d823>=nl00;6)<:f;f0?k42m3;376gk8;29 73a2m90b?;j:0;8?lb0290/>8h5d29m60c=9h10ei850;&11c<c;2d99h4>b:9j`0<72-8>j7j<;o06a?7d32co=7>5$37e>a5<f;?n6<j4;ha5>5<#:<l1h>5a24g95`=<akh1<7*=5g8g7>h5=l0:j65f11394?"5=o0:<=5a24g94>=nno0;6)<:f;334>h5=l0:76gie;29 73a28:;7c<:e;08?l`c290/>8h51128j73b2:10e<>9:18'60`=99?0b?;j:198m463290/>8h51178j73b2810e<><:18'60`=99?0b?;j:398m465290/>8h51178j73b2:10e?km:18'60`=:lk0b?;j:198m7c>290/>8h52dc8j73b2810e?k7:18'60`=:lk0b?;j:398m7c0290/>8h52dc8j73b2:10e?h?:18'60`=:ll0b?;j:198m7cb290/>8h52dd8j73b2810e?kk:18'60`=:ll0b?;j:398m7cd290/>8h52dd8j73b2:10c<<?:18'60`=98l0b?;j:198k47b290/>8h510d8j73b2810c<?l:18'60`=98l0b?;j:398k47e290/>8h510d8j73b2:10c<?n:18'60`=98l0b?;j:598k47>290/>8h510d8j73b2<10c<?7:18'60`=98l0b?;j:798k470290/>8h510d8j73b2>10c<?9:18'60`=98l0b?;j:998k472290/>8h510d8j73b2010c<?;:18'60`=98l0b?;j:`98k474290/>8h510d8j73b2k10c<?>:18'60`=98l0b?;j:b98k477290/>8h510d8j73b2m10c<>i:18'60`=98l0b?;j:d98k46b290/>8h510d8j73b2o10c<>k:18'60`=98l0b?;j:028?j77k3:1(?;i:03e?k42m3;:76a>0c83>!42n3;:j6`=5d826>=h99k1<7*=5g825c=i:<o1=>54o02:>5<#:<l1=<h4n37f>42<3f;;47>5$37e>47a3g8>i7?:;:m262<72-8>j7?>f:l11`<6>21d=?850;&11c<69o1e>8k51698k442290/>8h510d8j73b28207b?=4;29 73a28;m7c<:e;3:?>i6::0;6)<:f;32b>h5=l0:m65`13094?"5=o0:=k5a24g95g=<g88:6=4+24d954`<f;?n6<m4;n32`?6=,;?m6<?i;o06a?7c32e:=?4?:%06b?76n2d99h4>e:9l551=83.99k4>1g9m60c=9o10c<:=:18'60`=9=;0b?;j:198k427290/>8h51538j73b2810c<=j:18'60`=9=;0b?;j:398k45c290/>8h51538j73b2:10c<=l:18'60`=9=;0b?;j:598k45e290/>8h51538j73b2<10c<=n:18'60`=9=;0b?;j:798k45>290/>8h51538j73b2>10c<=7:18'60`=9=;0b?;j:998k450290/>8h51538j73b2010c<=9:18'60`=9=;0b?;j:`98k452290/>8h51538j73b2k10c<=<:18'60`=9=;0b?;j:b98k455290/>8h51538j73b2m10c<=>:18'60`=9=;0b?;j:d98k457290/>8h51538j73b2o10c<<i:18'60`=9=;0b?;j:028?j75m3:1(?;i:062?k42m3;:76a>2e83>!42n3;?=6`=5d826>=h9;i1<7*=5g8204=i:<o1=>54o00a>5<#:<l1=9?4n37f>42<3f;9m7>5$37e>4263g8>i7?:;:m20<<72-8>j7?;1:l11`<6>21d=9650;&11c<6<81e>8k51698k420290/>8h51538j73b28207b?;6;29 73a28>:7c<:e;3:?>i6<<0;6)<:f;375>h5=l0:m65`15694?"5=o0:8<5a24g95g=<g8>86=4+24d9517<f;?n6<m4;n30b?6=,;?m6<:>;o06a?7c32e:?94?:%06b?7392d99h4>e:9l57?=83.99k4>409m60c=9o10c<:i:18'60`=9=o0b?;j:198k42c290/>8h515g8j73b2810c<:l:18'60`=9=o0b?;j:398k42e290/>8h515g8j73b2:10c<;;:18'60`=9<90b?;j:198k435290/>8h51418j73b2810c<;>:18'60`=9<90b?;j:398k437290/>8h51418j73b2:10qol9e;29g7<729q/>ih52cg8L66f3A8n?6T99;ax62<5038j6?l532800?522:<1>h4=f;14>6>=u-;ji7:4$0ce>1=#9k:186*>b087?!7e:3>0(<l<:59'5g2=<2.:n84;;%3a2?2<,8h<695+1c:90>"6j00?7)?ma;68 4de2=1/=om54:&2fa<33-;ii7:4$0`e>1=#9j:186*>c087?!7d:3>0(<m<:59'5f2=<2.:o84;;%3`2?2<,8i<695+1b:90>"6k00?7)?la;68 4ee2=1/=nm54:&2ga<33-;hi7:4$0ae>1=#9m:186*>d087?!7c:3>0(<j<:59'5a2=<2.:h:4=599'5a3=;2.:h;4<;%3;g?2<,82o695+19g90>"60o0?7)?60;68 4?62=1/=i7516a8 4bf28=h7)<mf;06<>"5k909955a2e09=>h5l:027)<k9;06<>"61;0?7)?63;68 7`52;l:7)<i3;0e5>h5n=027c<i5;;8 4?>2=1/=4o54:&2=g<33-;2o7:4$0;g>1=#90o186*>9g87?!7f83>0(<o>:59'5d4=<2.:m>4;;%3b0?2<,8k>695+1`490>"6i>0?7)?n8;68 4g>2=1/=lo54:&2eg<33-;jo7:4$0cg>1=i9;21<6`>4`83?!4c03;<o6*=dc80?!7>=3>0(<79:59'5<1=<2.:554;;%0gg?5<a?h1<75f6b83>>o093:17d9=:188m41?2900e?j;:188m7b22900e<96:188m7bc2900e?jj:188k3b=831d:h4?::k7f?6=,;?m69o4n37f>5=<a=31<7*=5g87e>h5=l0:76g;8;29 73a2=k0b?;j:398m11=83.99k4;a:l11`<432c?:7>5$37e>1g<f;?n6954i4694?"5=o0?m6`=5d86?>o2;3:1(?;i:5c8j73b2?10e8<50;&11c<3i2d99h48;:k65?6=,;?m69o4n37f>==<a<:1<7*=5g87e>h5=l0276g;f;29 73a2=k0b?;j:`98m1c=83.99k4;a:l11`<e32c?h7>5$37e>1g<f;?n6n54i5a94?"5=o0?m6`=5d8g?>o3=3:1(?;i:5c8j73b2l10e8l50;&11c<2i2d99h4?;:k6=?6=,;?m68o4n37f>4=<a<21<7*=5g86e>h5=l0976g:7;29 73a2<k0b?;j:298m00=83.99k4:a:l11`<332c=87>5$37e>0g<f;?n6854i7194?"5=o0>m6`=5d85?>o1:3:1(?;i:4c8j73b2>10e;?50;&11c<2i2d99h47;:k54?6=,;?m68o4n37f><=<a<l1<7*=5g86e>h5=l0j76g:e;29 73a2<k0b?;j:c98m0b=83.99k4:a:l11`<d32c>o7>5$37e>0g<f;?n6i54i4794?"5=o0>m6`=5d8f?>oe;3:1(?;i:c08j73b2910eo?50;&11c<e:2d99h4>;:kbb?6=,;?m6o<4n37f>7=<aho1<7*=5g8a6>h5=l0876gnd;29 73a2k80b?;j:598mde=83.99k4m2:l11`<232cjn7>5$37e>g4<f;?n6;54i`c94?"5=o0i>6`=5d84?>of13:1(?;i:c08j73b2110el650;&11c<e:2d99h46;:kb3?6=,;?m6o<4n37f>d=<ah<1<7*=5g8a6>h5=l0i76gn4;29 73a2k80b?;j:b98md5=83.99k4m2:l11`<c32cj>7>5$37e>g4<f;?n6h54i`394?"5=o0i>6`=5d8e?>of83:1(?;i:c08j73b28:07d7i:18'60`=j;1e>8k51098m<c=83.99k4m2:l11`<6:21b5i4?:%06b?d53g8>i7?<;:k:g?6=,;?m6o<4n37f>42<3`3i6=4+24d9f7=i:<o1=854icc94?"5=o0i>6`=5d822>=nj00;6)<:f;`1?k42m3;<76gm8;29 73a2k80b?;j:0:8?ld0290/>8h5b39m60c=9010eo850;&11c<e:2d99h4>a:9jf0<72-8>j7l=;o06a?7e32ci87>5$37e>g4<f;?n6<m4;h`3>5<#:<l1n?5a24g95a=<ah?1<7*=5g8a6>h5=l0:i65f9`83>!42n3h97c<:e;3e?>oc<3:1(?;i:e18j73b2910ei<50;&11c<c;2d99h4>;:kg4?6=,;?m6i=4n37f>7=<ajl1<7*=5g8g7>h5=l0876gle;29 73a2m90b?;j:598mfb=83.99k4k3:l11`<232cho7>5$37e>a5<f;?n6;54ib`94?"5=o0o?6`=5d84?>odi3:1(?;i:e18j73b2110en750;&11c<c;2d99h46;:k`<?6=,;?m6i=4n37f>d=<aj=1<7*=5g8g7>h5=l0i76gl5;29 73a2m90b?;j:b98mf2=83.99k4k3:l11`<c32ch?7>5$37e>a5<f;?n6h54ib094?"5=o0o?6`=5d8e?>od93:1(?;i:e18j73b28:07dm?:18'60`=l:1e>8k51098mg`=83.99k4k3:l11`<6:21bnh4?:%06b?b43g8>i7?<;:ka`?6=,;?m6i=4n37f>42<3`hh6=4+24d9`6=i:<o1=854ie`94?"5=o0o?6`=5d822>=nlh0;6)<:f;f0?k42m3;<76gk9;29 73a2m90b?;j:0:8?lb?290/>8h5d29m60c=9010ei950;&11c<c;2d99h4>a:9j`3<72-8>j7j<;o06a?7e32co97>5$37e>a5<f;?n6<m4;hf2>5<#:<l1h>5a24g95a=<aj<1<7*=5g8g7>h5=l0:i65fbc83>!42n3n87c<:e;3e?>o6880;6)<:f;334>h5=l0;76gif;29 73a28:;7c<:e;38?l`b290/>8h51128j73b2;10ekj50;&11c<6891e>8k53:9j550=83.99k4>049m60c=821b==:50;&11c<68<1e>8k51:9j555=83.99k4>049m60c=:21b==<50;&11c<68<1e>8k53:9j6`d=83.99k4=e`9m60c=821b>h750;&11c<5mh1e>8k51:9j6`>=83.99k4=e`9m60c=:21b>h950;&11c<5mh1e>8k53:9j6c6=83.99k4=eg9m60c=821b>hk50;&11c<5mo1e>8k51:9j6`b=83.99k4=eg9m60c=:21b>hm50;&11c<5mo1e>8k53:9l576=83.99k4>1g9m60c=821d=<k50;&11c<69o1e>8k51:9l54e=83.99k4>1g9m60c=:21d=<l50;&11c<69o1e>8k53:9l54g=83.99k4>1g9m60c=<21d=<750;&11c<69o1e>8k55:9l54>=83.99k4>1g9m60c=>21d=<950;&11c<69o1e>8k57:9l540=83.99k4>1g9m60c=021d=<;50;&11c<69o1e>8k59:9l542=83.99k4>1g9m60c=i21d=<=50;&11c<69o1e>8k5b:9l547=83.99k4>1g9m60c=k21d=<>50;&11c<69o1e>8k5d:9l55`=83.99k4>1g9m60c=m21d==k50;&11c<69o1e>8k5f:9l55b=83.99k4>1g9m60c=9910c<>l:18'60`=98l0b?;j:038?j77j3:1(?;i:03e?k42m3;976a>0`83>!42n3;:j6`=5d827>=h9931<7*=5g825c=i:<o1=954o02;>5<#:<l1=<h4n37f>43<3f;9;7>5$37e>47a3g8>i7?9;:m263<72-8>j7?>f:l11`<6?21d=?;50;&11c<69o1e>8k51998k443290/>8h510d8j73b28307b?=3;29 73a28;m7c<:e;3b?>i6:;0;6)<:f;32b>h5=l0:n65`13394?"5=o0:=k5a24g95f=<g8;o6=4+24d954`<f;?n6<j4;n326?6=,;?m6<?i;o06a?7b32e:<:4?:%06b?76n2d99h4>f:9l514=83.99k4>409m60c=821d=9>50;&11c<6<81e>8k51:9l56c=83.99k4>409m60c=:21d=>j50;&11c<6<81e>8k53:9l56e=83.99k4>409m60c=<21d=>l50;&11c<6<81e>8k55:9l56g=83.99k4>409m60c=>21d=>750;&11c<6<81e>8k57:9l56>=83.99k4>409m60c=021d=>950;&11c<6<81e>8k59:9l560=83.99k4>409m60c=i21d=>;50;&11c<6<81e>8k5b:9l565=83.99k4>409m60c=k21d=><50;&11c<6<81e>8k5d:9l567=83.99k4>409m60c=m21d=>>50;&11c<6<81e>8k5f:9l57`=83.99k4>409m60c=9910c<<j:18'60`=9=;0b?;j:038?j75l3:1(?;i:062?k42m3;976a>2b83>!42n3;?=6`=5d827>=h9;h1<7*=5g8204=i:<o1=954o00b>5<#:<l1=9?4n37f>43<3f;?57>5$37e>4263g8>i7?9;:m20=<72-8>j7?;1:l11`<6?21d=9950;&11c<6<81e>8k51998k421290/>8h51538j73b28307b?;5;29 73a28>:7c<:e;3b?>i6<=0;6)<:f;375>h5=l0:n65`15194?"5=o0:8<5a24g95f=<g89m6=4+24d9517<f;?n6<j4;n300?6=,;?m6<:>;o06a?7b32e:>44?:%06b?7392d99h4>f:9l51`=83.99k4>4d9m60c=821d=9j50;&11c<6<l1e>8k51:9l51e=83.99k4>4d9m60c=:21d=9l50;&11c<6<l1e>8k53:9l502=83.99k4>529m60c=821d=8<50;&11c<6=:1e>8k51:9l507=83.99k4>529m60c=:21d=8>50;&11c<6=:1e>8k53:9~fg0a290h>7>50z&1`c<5jl1C?=o4H3g0?_0>2jq9;7<7:3c96g<4;39?6>;53781a?4a2:=1?54r$0cf>1=#9hl186*>b187?!7e93>0(<l=:59'5g5=<2.:n94;;%3a1?2<,8h=695+1c590>"6j10?7)?m9;68 4df2=1/=ol54:&2ff<33-;ih7:4$0`f>1=#9kl186*>c187?!7d93>0(<m=:59'5f5=<2.:o94;;%3`1?2<,8i=695+1b590>"6k10?7)?l9;68 4ef2=1/=nl54:&2gf<33-;hh7:4$0af>1=#9jl186*>d187?!7c93>0(<j=:59'5a5=<2.:h94;;%3g3?4202.:h84<;%3g2?5<,82h695+19f90>"60l0?7)?7f;68 4?72=1/=4?54:&2`<<6?j1/=io516a8 7da2;?37)<l0;06<>h5l;027c<k3;;8 7b>2;?37)?62;68 4?42=1/>k<52g38 7`42;l:7c<i4;;8j7`2201/=4754:&2=d<33-;2n7:4$0;`>1=#90n186*>9d87?!7>n3>0(<o?:59'5d7=<2.:m?4;;%3b7?2<,8k?695+1`790>"6i?0?7)?n7;68 4g?2=1/=l754:&2ed<33-;jn7:4$0c`>1=#9hn186`>2983?k73i3:0(?j7:05`?!4cj390(<7::59'5<0=<2.:5:4;;%3:<?2<,;nh6>5f6c83>>o1k3:17d9>:188m24=831b=:650;9j6a2=831b>i;50;9j52?=831b>ij50;9j6ac=831d:i4?::m5a?6=3`>i6=4+24d90d=i:<o1<65f4883>!42n3>j7c<:e;38?l2?290/>8h54`9m60c=:21b8:4?:%06b?2f3g8>i7=4;h65>5<#:<l18l5a24g90>=n==0;6)<:f;6b?k42m3?07d;<:18'60`=<h1e>8k56:9j17<72-8>j7:n;o06a?1<3`?:6=4+24d90d=i:<o1465f5183>!42n3>j7c<:e;;8?l2a290/>8h54`9m60c=i21b8h4?:%06b?2f3g8>i7l4;h6g>5<#:<l18l5a24g9g>=n<j0;6)<:f;6b?k42m3n07d:::18'60`=<h1e>8k5e:9j1g<72-8>j7;n;o06a?6<3`?26=4+24d91d=i:<o1=65f5983>!42n3?j7c<:e;08?l30290/>8h55`9m60c=;21b9;4?:%06b?3f3g8>i7:4;h47>5<#:<l19l5a24g91>=n>:0;6)<:f;7b?k42m3<07d8=:18'60`==h1e>8k57:9j24<72-8>j7;n;o06a?><3`<;6=4+24d91d=i:<o1565f5g83>!42n3?j7c<:e;c8?l3b290/>8h55`9m60c=j21b9i4?:%06b?3f3g8>i7m4;h7`>5<#:<l19l5a24g9`>=n=<0;6)<:f;7b?k42m3o07dl<:18'60`=j;1e>8k50:9jf4<72-8>j7l=;o06a?7<3`km6=4+24d9f7=i:<o1>65fad83>!42n3h97c<:e;18?lgc290/>8h5b39m60c=<21bmn4?:%06b?d53g8>i7;4;hca>5<#:<l1n?5a24g92>=nih0;6)<:f;`1?k42m3=07do6:18'60`=j;1e>8k58:9je=<72-8>j7l=;o06a??<3`k<6=4+24d9f7=i:<o1m65fa783>!42n3h97c<:e;`8?lg3290/>8h5b39m60c=k21bm>4?:%06b?d53g8>i7j4;hc1>5<#:<l1n?5a24g9a>=ni80;6)<:f;`1?k42m3l07do?:18'60`=j;1e>8k51198m<`=83.99k4m2:l11`<6921b5h4?:%06b?d53g8>i7?=;:k:`?6=,;?m6o<4n37f>45<3`3h6=4+24d9f7=i:<o1=954i8`94?"5=o0i>6`=5d821>=njh0;6)<:f;`1?k42m3;=76gm9;29 73a2k80b?;j:058?ld?290/>8h5b39m60c=9110eo950;&11c<e:2d99h4>9:9jf3<72-8>j7l=;o06a?7f32ci97>5$37e>g4<f;?n6<l4;h`7>5<#:<l1n?5a24g95f=<ak:1<7*=5g8a6>h5=l0:h65fa483>!42n3h97c<:e;3f?>o>i3:1(?;i:c08j73b28l07dj;:18'60`=l:1e>8k50:9j`7<72-8>j7j<;o06a?7<3`n;6=4+24d9`6=i:<o1>65fcg83>!42n3n87c<:e;18?leb290/>8h5d29m60c=<21boi4?:%06b?b43g8>i7;4;ha`>5<#:<l1h>5a24g92>=nkk0;6)<:f;f0?k42m3=07dmn:18'60`=l:1e>8k58:9jg<<72-8>j7j<;o06a??<3`i36=4+24d9`6=i:<o1m65fc683>!42n3n87c<:e;`8?le2290/>8h5d29m60c=k21bo94?:%06b?b43g8>i7j4;ha0>5<#:<l1h>5a24g9a>=nk;0;6)<:f;f0?k42m3l07dm>:18'60`=l:1e>8k51198mf6=83.99k4k3:l11`<6921bnk4?:%06b?b43g8>i7?=;:kaa?6=,;?m6i=4n37f>45<3`ho6=4+24d9`6=i:<o1=954ica94?"5=o0o?6`=5d821>=nlk0;6)<:f;f0?k42m3;=76gka;29 73a2m90b?;j:058?lb>290/>8h5d29m60c=9110ei650;&11c<c;2d99h4>9:9j`2<72-8>j7j<;o06a?7f32co:7>5$37e>a5<f;?n6<l4;hf6>5<#:<l1h>5a24g95f=<am;1<7*=5g8g7>h5=l0:h65fc783>!42n3n87c<:e;3f?>oej3:1(?;i:e18j73b28l07d??1;29 73a28:;7c<:e;28?l`a290/>8h51128j73b2810ekk50;&11c<6891e>8k52:9jba<72-8>j7??0:l11`<432c:<;4?:%06b?77=2d99h4?;:k241<72-8>j7??5:l11`<632c:<>4?:%06b?77=2d99h4=;:k247<72-8>j7??5:l11`<432c9io4?:%06b?4bi2d99h4?;:k1a<<72-8>j7<ja:l11`<632c9i54?:%06b?4bi2d99h4=;:k1a2<72-8>j7<ja:l11`<432c9j=4?:%06b?4bn2d99h4?;:k1a`<72-8>j7<jf:l11`<632c9ii4?:%06b?4bn2d99h4=;:k1af<72-8>j7<jf:l11`<432e:>=4?:%06b?76n2d99h4?;:m25`<72-8>j7?>f:l11`<632e:=n4?:%06b?76n2d99h4=;:m25g<72-8>j7?>f:l11`<432e:=l4?:%06b?76n2d99h4;;:m25<<72-8>j7?>f:l11`<232e:=54?:%06b?76n2d99h49;:m252<72-8>j7?>f:l11`<032e:=;4?:%06b?76n2d99h47;:m250<72-8>j7?>f:l11`<>32e:=94?:%06b?76n2d99h4n;:m256<72-8>j7?>f:l11`<e32e:=<4?:%06b?76n2d99h4l;:m255<72-8>j7?>f:l11`<c32e:<k4?:%06b?76n2d99h4j;:m24`<72-8>j7?>f:l11`<a32e:<i4?:%06b?76n2d99h4>0:9l55e=83.99k4>1g9m60c=9810c<>m:18'60`=98l0b?;j:008?j77i3:1(?;i:03e?k42m3;876a>0883>!42n3;:j6`=5d820>=h9921<7*=5g825c=i:<o1=854o004>5<#:<l1=<h4n37f>40<3f;9:7>5$37e>47a3g8>i7?8;:m260<72-8>j7?>f:l11`<6021d=?:50;&11c<69o1e>8k51898k444290/>8h510d8j73b28k07b?=2;29 73a28;m7c<:e;3a?>i6:80;6)<:f;32b>h5=l0:o65`10f94?"5=o0:=k5a24g95a=<g8;96=4+24d954`<f;?n6<k4;n333?6=,;?m6<?i;o06a?7a32e:8?4?:%06b?7392d99h4?;:m205<72-8>j7?;1:l11`<632e:?h4?:%06b?7392d99h4=;:m27a<72-8>j7?;1:l11`<432e:?n4?:%06b?7392d99h4;;:m27g<72-8>j7?;1:l11`<232e:?l4?:%06b?7392d99h49;:m27<<72-8>j7?;1:l11`<032e:?54?:%06b?7392d99h47;:m272<72-8>j7?;1:l11`<>32e:?;4?:%06b?7392d99h4n;:m270<72-8>j7?;1:l11`<e32e:?>4?:%06b?7392d99h4l;:m277<72-8>j7?;1:l11`<c32e:?<4?:%06b?7392d99h4j;:m275<72-8>j7?;1:l11`<a32e:>k4?:%06b?7392d99h4>0:9l57c=83.99k4>409m60c=9810c<<k:18'60`=9=;0b?;j:008?j75k3:1(?;i:062?k42m3;876a>2c83>!42n3;?=6`=5d820>=h9;k1<7*=5g8204=i:<o1=854o06:>5<#:<l1=9?4n37f>40<3f;?47>5$37e>4263g8>i7?8;:m202<72-8>j7?;1:l11`<6021d=9850;&11c<6<81e>8k51898k422290/>8h51538j73b28k07b?;4;29 73a28>:7c<:e;3a?>i6<:0;6)<:f;375>h5=l0:o65`12d94?"5=o0:8<5a24g95a=<g89?6=4+24d9517<f;?n6<k4;n31=?6=,;?m6<:>;o06a?7a32e:8k4?:%06b?73m2d99h4?;:m20a<72-8>j7?;e:l11`<632e:8n4?:%06b?73m2d99h4=;:m20g<72-8>j7?;e:l11`<432e:994?:%06b?72;2d99h4?;:m217<72-8>j7?:3:l11`<632e:9<4?:%06b?72;2d99h4=;:m215<72-8>j7?:3:l11`<432win:>50;a1>5<7s-8oj7<me:J04d=O:l90V;75cz04>7>=:h09n7=<:26970<4>38n6?h53680<?{#9ho186*>ag87?!7e83>0(<l>:59'5g4=<2.:n>4;;%3a0?2<,8h>695+1c490>"6j>0?7)?m8;68 4d>2=1/=oo54:&2fg<33-;io7:4$0`g>1=#9ko186*>bg87?!7d83>0(<m>:59'5f4=<2.:o>4;;%3`0?2<,8i>695+1b490>"6k>0?7)?l8;68 4e>2=1/=no54:&2gg<33-;ho7:4$0ag>1=#9jo186*>cg87?!7c83>0(<j>:59'5a4=<2.:h>4;;%3g0?2<,8n<6?;7;%3g1?5<,8n=6>5+19a90>"60m0?7)?7e;68 4>a2=1/=4>54:&2=4<33-;o57?8c:&2`d<6?j1/>oh524:8 7e72;?37c<k2;;8j7b4201/>i7524:8 4?52=1/=4=54:&1b7<5n81/>k=52g38j7`3201e>k;59:&2=<<33-;2m7:4$0;a>1=#90i186*>9e87?!7>m3>0(<7i:59'5d6=<2.:m<4;;%3b6?2<,8k8695+1`690>"6i<0?7)?n6;68 4g02=1/=l654:&2e<<33-;jm7:4$0ca>1=#9hi186*>ae87?k7503:0b<:n:19'6a>=9>i0(?jm:29'5<3=<2.:5;4;;%3:3?2<,833695+2ea97>o1j3:17d8l:188m27=831b;?4?::k23=<722c9h94?::k1`0<722c:;44?::k1`a<722c9hh4?::m5`?6=3f<n6=44i5`94?"5=o0?m6`=5d83?>o313:1(?;i:5c8j73b2810e9650;&11c<3i2d99h4=;:k73?6=,;?m69o4n37f>6=<a=<1<7*=5g87e>h5=l0?76g:4;29 73a2=k0b?;j:498m05=83.99k4;a:l11`<132c>>7>5$37e>1g<f;?n6:54i4394?"5=o0?m6`=5d8;?>o283:1(?;i:5c8j73b2010e9h50;&11c<3i2d99h4n;:k7a?6=,;?m69o4n37f>g=<a=n1<7*=5g87e>h5=l0h76g;c;29 73a2=k0b?;j:e98m13=83.99k4;a:l11`<b32c>n7>5$37e>0g<f;?n6=54i4;94?"5=o0>m6`=5d82?>o203:1(?;i:4c8j73b2;10e8950;&11c<2i2d99h4<;:k62?6=,;?m68o4n37f>1=<a?>1<7*=5g86e>h5=l0>76g93;29 73a2<k0b?;j:798m34=83.99k4:a:l11`<032c==7>5$37e>0g<f;?n6554i7294?"5=o0>m6`=5d8:?>o2n3:1(?;i:4c8j73b2h10e8k50;&11c<2i2d99h4m;:k6`?6=,;?m68o4n37f>f=<a<i1<7*=5g86e>h5=l0o76g:5;29 73a2<k0b?;j:d98mg5=83.99k4m2:l11`<732ci=7>5$37e>g4<f;?n6<54i`d94?"5=o0i>6`=5d81?>ofm3:1(?;i:c08j73b2:10elj50;&11c<e:2d99h4;;:kbg?6=,;?m6o<4n37f>0=<ahh1<7*=5g8a6>h5=l0=76gna;29 73a2k80b?;j:698md?=83.99k4m2:l11`<?32cj47>5$37e>g4<f;?n6454i`594?"5=o0i>6`=5d8b?>of>3:1(?;i:c08j73b2k10el:50;&11c<e:2d99h4l;:kb7?6=,;?m6o<4n37f>a=<ah81<7*=5g8a6>h5=l0n76gn1;29 73a2k80b?;j:g98md6=83.99k4m2:l11`<6821b5k4?:%06b?d53g8>i7?>;:k:a?6=,;?m6o<4n37f>44<3`3o6=4+24d9f7=i:<o1=>54i8a94?"5=o0i>6`=5d820>=n1k0;6)<:f;`1?k42m3;>76gma;29 73a2k80b?;j:048?ld>290/>8h5b39m60c=9>10eo650;&11c<e:2d99h4>8:9jf2<72-8>j7l=;o06a?7>32ci:7>5$37e>g4<f;?n6<o4;h`6>5<#:<l1n?5a24g95g=<ak>1<7*=5g8a6>h5=l0:o65fb183>!42n3h97c<:e;3g?>of=3:1(?;i:c08j73b28o07d7n:18'60`=j;1e>8k51g98ma2=83.99k4k3:l11`<732co>7>5$37e>a5<f;?n6<54ie294?"5=o0o?6`=5d81?>odn3:1(?;i:e18j73b2:10enk50;&11c<c;2d99h4;;:k``?6=,;?m6i=4n37f>0=<aji1<7*=5g8g7>h5=l0=76glb;29 73a2m90b?;j:698mfg=83.99k4k3:l11`<?32ch57>5$37e>a5<f;?n6454ib:94?"5=o0o?6`=5d8b?>od?3:1(?;i:e18j73b2k10en;50;&11c<c;2d99h4l;:k`0?6=,;?m6i=4n37f>a=<aj91<7*=5g8g7>h5=l0n76gl2;29 73a2m90b?;j:g98mf7=83.99k4k3:l11`<6821bo=4?:%06b?b43g8>i7?>;:kab?6=,;?m6i=4n37f>44<3`hn6=4+24d9`6=i:<o1=>54icf94?"5=o0o?6`=5d820>=njj0;6)<:f;f0?k42m3;>76gkb;29 73a2m90b?;j:048?lbf290/>8h5d29m60c=9>10ei750;&11c<c;2d99h4>8:9j`=<72-8>j7j<;o06a?7>32co;7>5$37e>a5<f;?n6<o4;hf5>5<#:<l1h>5a24g95g=<am?1<7*=5g8g7>h5=l0:o65fd083>!42n3n87c<:e;3g?>od>3:1(?;i:e18j73b28o07dlm:18'60`=l:1e>8k51g98m466290/>8h51128j73b2910ekh50;&11c<6891e>8k51:9jb`<72-8>j7??0:l11`<532cmh7>5$37e>4673g8>i7=4;h332?6=,;?m6<>:;o06a?6<3`;;87>5$37e>4623g8>i7?4;h337?6=,;?m6<>:;o06a?4<3`;;>7>5$37e>4623g8>i7=4;h0ff?6=,;?m6?kn;o06a?6<3`8n57>5$37e>7cf3g8>i7?4;h0f<?6=,;?m6?kn;o06a?4<3`8n;7>5$37e>7cf3g8>i7=4;h0e4?6=,;?m6?ki;o06a?6<3`8ni7>5$37e>7ca3g8>i7?4;h0f`?6=,;?m6?ki;o06a?4<3`8no7>5$37e>7ca3g8>i7=4;n314?6=,;?m6<?i;o06a?6<3f;:i7>5$37e>47a3g8>i7?4;n32g?6=,;?m6<?i;o06a?4<3f;:n7>5$37e>47a3g8>i7=4;n32e?6=,;?m6<?i;o06a?2<3f;:57>5$37e>47a3g8>i7;4;n32<?6=,;?m6<?i;o06a?0<3f;:;7>5$37e>47a3g8>i794;n322?6=,;?m6<?i;o06a?><3f;:97>5$37e>47a3g8>i774;n320?6=,;?m6<?i;o06a?g<3f;:?7>5$37e>47a3g8>i7l4;n325?6=,;?m6<?i;o06a?e<3f;:<7>5$37e>47a3g8>i7j4;n33b?6=,;?m6<?i;o06a?c<3f;;i7>5$37e>47a3g8>i7h4;n33`?6=,;?m6<?i;o06a?7732e:<n4?:%06b?76n2d99h4>1:9l55d=83.99k4>1g9m60c=9;10c<>n:18'60`=98l0b?;j:018?j7713:1(?;i:03e?k42m3;?76a>0983>!42n3;:j6`=5d821>=h9;=1<7*=5g825c=i:<o1=;54o005>5<#:<l1=<h4n37f>41<3f;997>5$37e>47a3g8>i7?7;:m261<72-8>j7?>f:l11`<6121d=?=50;&11c<69o1e>8k51`98k445290/>8h510d8j73b28h07b?=1;29 73a28;m7c<:e;3`?>i69m0;6)<:f;32b>h5=l0:h65`10094?"5=o0:=k5a24g95`=<g8:<6=4+24d954`<f;?n6<h4;n376?6=,;?m6<:>;o06a?6<3f;?<7>5$37e>4263g8>i7?4;n30a?6=,;?m6<:>;o06a?4<3f;8h7>5$37e>4263g8>i7=4;n30g?6=,;?m6<:>;o06a?2<3f;8n7>5$37e>4263g8>i7;4;n30e?6=,;?m6<:>;o06a?0<3f;857>5$37e>4263g8>i794;n30<?6=,;?m6<:>;o06a?><3f;8;7>5$37e>4263g8>i774;n302?6=,;?m6<:>;o06a?g<3f;897>5$37e>4263g8>i7l4;n307?6=,;?m6<:>;o06a?e<3f;8>7>5$37e>4263g8>i7j4;n305?6=,;?m6<:>;o06a?c<3f;8<7>5$37e>4263g8>i7h4;n31b?6=,;?m6<:>;o06a?7732e:>h4?:%06b?7392d99h4>1:9l57b=83.99k4>409m60c=9;10c<<l:18'60`=9=;0b?;j:018?j75j3:1(?;i:062?k42m3;?76a>2`83>!42n3;?=6`=5d821>=h9=31<7*=5g8204=i:<o1=;54o06;>5<#:<l1=9?4n37f>41<3f;?;7>5$37e>4263g8>i7?7;:m203<72-8>j7?;1:l11`<6121d=9;50;&11c<6<81e>8k51`98k423290/>8h51538j73b28h07b?;3;29 73a28>:7c<:e;3`?>i6;o0;6)<:f;375>h5=l0:h65`12694?"5=o0:8<5a24g95`=<g8826=4+24d9517<f;?n6<h4;n37b?6=,;?m6<:j;o06a?6<3f;?h7>5$37e>42b3g8>i7?4;n37g?6=,;?m6<:j;o06a?4<3f;?n7>5$37e>42b3g8>i7=4;n360?6=,;?m6<;<;o06a?6<3f;>>7>5$37e>4343g8>i7?4;n365?6=,;?m6<;<;o06a?4<3f;><7>5$37e>4343g8>i7=4;|`a34<72j81<7>t$3fe>7db3A9;m6F=e29Y2<<ds;=1>54=a;0a>65=;=0897=9:3g96c<4?3936p*>ad87?!7fn3>0(<l?:59'5g7=<2.:n?4;;%3a7?2<,8h?695+1c790>"6j?0?7)?m7;68 4d?2=1/=o754:&2fd<33-;in7:4$0``>1=#9kn186*>bd87?!7en3>0(<m?:59'5f7=<2.:o?4;;%3`7?2<,8i?695+1b790>"6k?0?7)?l7;68 4e?2=1/=n754:&2gd<33-;hn7:4$0a`>1=#9jn186*>cd87?!7dn3>0(<j?:59'5a7=<2.:h?4;;%3g7?2<,8n?695+1e5960><,8n>6>5+1e497>"60j0?7)?7d;68 4>b2=1/=5h54:&2=5<33-;2=7:4$0f:>41d3-;om7?8c:&1fc<5=11/>n>524:8j7b5201e>i=59:&1`<<5=11/=4<54:&2=6<33-8m>7<i1:&1b6<5n81e>k:59:l1b0<>3-;257:4$0;b>1=#90h186*>9b87?!7>l3>0(<7j:59'5<`=<2.:m=4;;%3b5?2<,8k9695+1`190>"6i=0?7)?n5;68 4g12=1/=l954:&2e=<33-;j57:4$0cb>1=#9hh186*>ab87?!7fl3>0b<<7:19m51g=82.9h54>7b9'6ad=;2.:584;;%3:2?2<,83<695+18:90>"5lj087d8m:188m3e=831b;<4?::k46?6=3`;<47>5;h0g0?6=3`8o97>5;h34=?6=3`8oh7>5;h0ga?6=3f<o6=44o7g94?=n<k0;6)<:f;6b?k42m3:07d:6:18'60`=<h1e>8k51:9j0=<72-8>j7:n;o06a?4<3`><6=4+24d90d=i:<o1?65f4783>!42n3>j7c<:e;68?l33290/>8h54`9m60c==21b9>4?:%06b?2f3g8>i784;h71>5<#:<l18l5a24g93>=n=80;6)<:f;6b?k42m3207d;?:18'60`=<h1e>8k59:9j0c<72-8>j7:n;o06a?g<3`>n6=4+24d90d=i:<o1n65f4e83>!42n3>j7c<:e;a8?l2d290/>8h54`9m60c=l21b884?:%06b?2f3g8>i7k4;h7a>5<#:<l19l5a24g94>=n=00;6)<:f;7b?k42m3;07d;7:18'60`==h1e>8k52:9j12<72-8>j7;n;o06a?5<3`?=6=4+24d91d=i:<o1865f6583>!42n3?j7c<:e;78?l04290/>8h55`9m60c=>21b:?4?:%06b?3f3g8>i794;h42>5<#:<l19l5a24g9<>=n>90;6)<:f;7b?k42m3307d;i:18'60`==h1e>8k5a:9j1`<72-8>j7;n;o06a?d<3`?o6=4+24d91d=i:<o1o65f5b83>!42n3?j7c<:e;f8?l32290/>8h55`9m60c=m21bn>4?:%06b?d53g8>i7>4;h`2>5<#:<l1n?5a24g95>=nio0;6)<:f;`1?k42m3807doj:18'60`=j;1e>8k53:9jea<72-8>j7l=;o06a?2<3`kh6=4+24d9f7=i:<o1965fac83>!42n3h97c<:e;48?lgf290/>8h5b39m60c=?21bm44?:%06b?d53g8>i764;hc;>5<#:<l1n?5a24g9=>=ni>0;6)<:f;`1?k42m3k07do9:18'60`=j;1e>8k5b:9je1<72-8>j7l=;o06a?e<3`k86=4+24d9f7=i:<o1h65fa383>!42n3h97c<:e;g8?lg6290/>8h5b39m60c=n21bm=4?:%06b?d53g8>i7??;:k:b?6=,;?m6o<4n37f>47<3`3n6=4+24d9f7=i:<o1=?54i8f94?"5=o0i>6`=5d827>=n1j0;6)<:f;`1?k42m3;?76g6b;29 73a2k80b?;j:078?ldf290/>8h5b39m60c=9?10eo750;&11c<e:2d99h4>7:9jf=<72-8>j7l=;o06a?7?32ci;7>5$37e>g4<f;?n6<74;h`5>5<#:<l1n?5a24g95d=<ak?1<7*=5g8a6>h5=l0:n65fb583>!42n3h97c<:e;3`?>oe83:1(?;i:c08j73b28n07do::18'60`=j;1e>8k51d98m<g=83.99k4m2:l11`<6n21bh94?:%06b?b43g8>i7>4;hf1>5<#:<l1h>5a24g95>=nl90;6)<:f;f0?k42m3807dmi:18'60`=l:1e>8k53:9jg`<72-8>j7j<;o06a?2<3`io6=4+24d9`6=i:<o1965fcb83>!42n3n87c<:e;48?lee290/>8h5d29m60c=?21bol4?:%06b?b43g8>i764;ha:>5<#:<l1h>5a24g9=>=nk10;6)<:f;f0?k42m3k07dm8:18'60`=l:1e>8k5b:9jg0<72-8>j7j<;o06a?e<3`i?6=4+24d9`6=i:<o1h65fc283>!42n3n87c<:e;g8?le5290/>8h5d29m60c=n21bo<4?:%06b?b43g8>i7??;:k`4?6=,;?m6i=4n37f>47<3`hm6=4+24d9`6=i:<o1=?54icg94?"5=o0o?6`=5d827>=njm0;6)<:f;f0?k42m3;?76gmc;29 73a2m90b?;j:078?lbe290/>8h5d29m60c=9?10eio50;&11c<c;2d99h4>7:9j`<<72-8>j7j<;o06a?7?32co47>5$37e>a5<f;?n6<74;hf4>5<#:<l1h>5a24g95d=<am<1<7*=5g8g7>h5=l0:n65fd483>!42n3n87c<:e;3`?>oc93:1(?;i:e18j73b28n07dm9:18'60`=l:1e>8k51d98mgd=83.99k4k3:l11`<6n21b==?50;&11c<6891e>8k50:9jbc<72-8>j7??0:l11`<632cmi7>5$37e>4673g8>i7<4;hdg>5<#:<l1==>4n37f>6=<a8:=6=4+24d9553<f;?n6=54i027>5<#:<l1==;4n37f>4=<a8:86=4+24d9553<f;?n6?54i021>5<#:<l1==;4n37f>6=<a;oi6=4+24d96`g<f;?n6=54i3g:>5<#:<l1>ho4n37f>4=<a;o36=4+24d96`g<f;?n6?54i3g4>5<#:<l1>ho4n37f>6=<a;l;6=4+24d96``<f;?n6=54i3gf>5<#:<l1>hh4n37f>4=<a;oo6=4+24d96``<f;?n6?54i3g`>5<#:<l1>hh4n37f>6=<g88;6=4+24d954`<f;?n6=54o03f>5<#:<l1=<h4n37f>4=<g8;h6=4+24d954`<f;?n6?54o03a>5<#:<l1=<h4n37f>6=<g8;j6=4+24d954`<f;?n6954o03:>5<#:<l1=<h4n37f>0=<g8;36=4+24d954`<f;?n6;54o034>5<#:<l1=<h4n37f>2=<g8;=6=4+24d954`<f;?n6554o036>5<#:<l1=<h4n37f><=<g8;?6=4+24d954`<f;?n6l54o030>5<#:<l1=<h4n37f>g=<g8;:6=4+24d954`<f;?n6n54o033>5<#:<l1=<h4n37f>a=<g8:m6=4+24d954`<f;?n6h54o02f>5<#:<l1=<h4n37f>c=<g8:o6=4+24d954`<f;?n6<>4;n33g?6=,;?m6<?i;o06a?7632e:<o4?:%06b?76n2d99h4>2:9l55g=83.99k4>1g9m60c=9:10c<>6:18'60`=98l0b?;j:068?j7703:1(?;i:03e?k42m3;>76a>2683>!42n3;:j6`=5d822>=h9;<1<7*=5g825c=i:<o1=:54o006>5<#:<l1=<h4n37f>4><3f;987>5$37e>47a3g8>i7?6;:m266<72-8>j7?>f:l11`<6i21d=?<50;&11c<69o1e>8k51c98k446290/>8h510d8j73b28i07b?>d;29 73a28;m7c<:e;3g?>i69;0;6)<:f;32b>h5=l0:i65`11594?"5=o0:=k5a24g95c=<g8>96=4+24d9517<f;?n6=54o063>5<#:<l1=9?4n37f>4=<g89n6=4+24d9517<f;?n6?54o01g>5<#:<l1=9?4n37f>6=<g89h6=4+24d9517<f;?n6954o01a>5<#:<l1=9?4n37f>0=<g89j6=4+24d9517<f;?n6;54o01:>5<#:<l1=9?4n37f>2=<g8936=4+24d9517<f;?n6554o014>5<#:<l1=9?4n37f><=<g89=6=4+24d9517<f;?n6l54o016>5<#:<l1=9?4n37f>g=<g8986=4+24d9517<f;?n6n54o011>5<#:<l1=9?4n37f>a=<g89:6=4+24d9517<f;?n6h54o013>5<#:<l1=9?4n37f>c=<g88m6=4+24d9517<f;?n6<>4;n31a?6=,;?m6<:>;o06a?7632e:>i4?:%06b?7392d99h4>2:9l57e=83.99k4>409m60c=9:10c<<m:18'60`=9=;0b?;j:068?j75i3:1(?;i:062?k42m3;>76a>4883>!42n3;?=6`=5d822>=h9=21<7*=5g8204=i:<o1=:54o064>5<#:<l1=9?4n37f>4><3f;?:7>5$37e>4263g8>i7?6;:m200<72-8>j7?;1:l11`<6i21d=9:50;&11c<6<81e>8k51c98k424290/>8h51538j73b28i07b?<f;29 73a28>:7c<:e;3g?>i6;=0;6)<:f;375>h5=l0:i65`13;94?"5=o0:8<5a24g95c=<g8>m6=4+24d951c<f;?n6=54o06g>5<#:<l1=9k4n37f>4=<g8>h6=4+24d951c<f;?n6?54o06a>5<#:<l1=9k4n37f>6=<g8??6=4+24d9505<f;?n6=54o071>5<#:<l1=8=4n37f>4=<g8?:6=4+24d9505<f;?n6?54o073>5<#:<l1=8=4n37f>6=<ukh<>7>5c383>5}#:ml1>ok4H22b?M4b;2P=57mt2681<?4f2;h1?>4<4;16>60=:l09j7=8:2:9y!7fm3>0(<oi:59'5g6=<2.:n<4;;%3a6?2<,8h8695+1c690>"6j<0?7)?m6;68 4d02=1/=o654:&2f<<33-;im7:4$0`a>1=#9ki186*>be87?!7em3>0(<li:59'5f6=<2.:o<4;;%3`6?2<,8i8695+1b690>"6k<0?7)?l6;68 4e02=1/=n654:&2g<<33-;hm7:4$0aa>1=#9ji186*>ce87?!7dm3>0(<mi:59'5a6=<2.:h<4;;%3g6?2<,8n8695+1e690>"6l>09955+1e797>"6l?087)?7c;68 4>c2=1/=5k54:&2<c<33-;2<7:4$0;2>1=#9m31=:m4$0fb>41d3-8ij7<:8:&1g5<5=11e>i<59:l1`6<>3-8o57<:8:&2=7<33-;2?7:4$3d1>7`63-8m?7<i1:l1b1<>3g8m9774$0;:>1=#90k186*>9c87?!7>k3>0(<7k:59'5<c=<2.:5k4;;%3b4?2<,8k:695+1`090>"6i:0?7)?n4;68 4g22=1/=l854:&2e2<33-;j47:4$0c:>1=#9hk186*>ac87?!7fk3>0(<ok:59m57>=82d:8l4?;%0g<?70k2.9ho4<;%3:1?2<,83=695+18590>"6110?7)<kc;18m3d=831b:n4?::k45?6=3`=96=44i05;>5<<a;n?6=44i3f6>5<<a8=26=44i3fg>5<<a;nn6=44o7f94?=h>l0;66g;b;29 73a2=k0b?;j:198m1?=83.99k4;a:l11`<632c?47>5$37e>1g<f;?n6?54i5594?"5=o0?m6`=5d80?>o3>3:1(?;i:5c8j73b2=10e8:50;&11c<3i2d99h4:;:k67?6=,;?m69o4n37f>3=<a<81<7*=5g87e>h5=l0<76g:1;29 73a2=k0b?;j:998m06=83.99k4;a:l11`<>32c?j7>5$37e>1g<f;?n6l54i5g94?"5=o0?m6`=5d8a?>o3l3:1(?;i:5c8j73b2j10e9m50;&11c<3i2d99h4k;:k71?6=,;?m69o4n37f>`=<a<h1<7*=5g86e>h5=l0;76g:9;29 73a2<k0b?;j:098m0>=83.99k4:a:l11`<532c>;7>5$37e>0g<f;?n6>54i4494?"5=o0>m6`=5d87?>o1<3:1(?;i:4c8j73b2<10e;=50;&11c<2i2d99h49;:k56?6=,;?m68o4n37f>2=<a?;1<7*=5g86e>h5=l0376g90;29 73a2<k0b?;j:898m0`=83.99k4:a:l11`<f32c>i7>5$37e>0g<f;?n6o54i4f94?"5=o0>m6`=5d8`?>o2k3:1(?;i:4c8j73b2m10e8;50;&11c<2i2d99h4j;:ka7?6=,;?m6o<4n37f>5=<ak;1<7*=5g8a6>h5=l0:76gnf;29 73a2k80b?;j:398mdc=83.99k4m2:l11`<432cjh7>5$37e>g4<f;?n6954i`a94?"5=o0i>6`=5d86?>ofj3:1(?;i:c08j73b2?10elo50;&11c<e:2d99h48;:kb=?6=,;?m6o<4n37f>==<ah21<7*=5g8a6>h5=l0276gn7;29 73a2k80b?;j:`98md0=83.99k4m2:l11`<e32cj87>5$37e>g4<f;?n6n54i`194?"5=o0i>6`=5d8g?>of:3:1(?;i:c08j73b2l10el?50;&11c<e:2d99h4i;:kb4?6=,;?m6o<4n37f>46<3`3m6=4+24d9f7=i:<o1=<54i8g94?"5=o0i>6`=5d826>=n1m0;6)<:f;`1?k42m3;876g6c;29 73a2k80b?;j:068?l?e290/>8h5b39m60c=9<10eoo50;&11c<e:2d99h4>6:9jf<<72-8>j7l=;o06a?7032ci47>5$37e>g4<f;?n6<64;h`4>5<#:<l1n?5a24g95<=<ak<1<7*=5g8a6>h5=l0:m65fb483>!42n3h97c<:e;3a?>oe<3:1(?;i:c08j73b28i07dl?:18'60`=j;1e>8k51e98md3=83.99k4m2:l11`<6m21b5l4?:%06b?d53g8>i7?i;:kg0?6=,;?m6i=4n37f>5=<am81<7*=5g8g7>h5=l0:76gk0;29 73a2m90b?;j:398mf`=83.99k4k3:l11`<432chi7>5$37e>a5<f;?n6954ibf94?"5=o0o?6`=5d86?>odk3:1(?;i:e18j73b2?10enl50;&11c<c;2d99h48;:k`e?6=,;?m6i=4n37f>==<aj31<7*=5g8g7>h5=l0276gl8;29 73a2m90b?;j:`98mf1=83.99k4k3:l11`<e32ch97>5$37e>a5<f;?n6n54ib694?"5=o0o?6`=5d8g?>od;3:1(?;i:e18j73b2l10en<50;&11c<c;2d99h4i;:k`5?6=,;?m6i=4n37f>46<3`i;6=4+24d9`6=i:<o1=<54icd94?"5=o0o?6`=5d826>=njl0;6)<:f;f0?k42m3;876gmd;29 73a2m90b?;j:068?ldd290/>8h5d29m60c=9<10eil50;&11c<c;2d99h4>6:9j`d<72-8>j7j<;o06a?7032co57>5$37e>a5<f;?n6<64;hf;>5<#:<l1h>5a24g95<=<am=1<7*=5g8g7>h5=l0:m65fd783>!42n3n87c<:e;3a?>oc=3:1(?;i:e18j73b28i07dj>:18'60`=l:1e>8k51e98mf0=83.99k4k3:l11`<6m21bno4?:%06b?b43g8>i7?i;:k244<72-8>j7??0:l11`<732cmj7>5$37e>4673g8>i7?4;hdf>5<#:<l1==>4n37f>7=<aon1<7*=5g8245=i:<o1?65f11494?"5=o0:<85a24g94>=n99>1<7*=5g8240=i:<o1=65f11194?"5=o0:<85a24g96>=n9981<7*=5g8240=i:<o1?65f2d`94?"5=o09il5a24g94>=n:l31<7*=5g81ad=i:<o1=65f2d:94?"5=o09il5a24g96>=n:l=1<7*=5g81ad=i:<o1?65f2g294?"5=o09ik5a24g94>=n:lo1<7*=5g81ac=i:<o1=65f2df94?"5=o09ik5a24g96>=n:li1<7*=5g81ac=i:<o1?65`13294?"5=o0:=k5a24g94>=h98o1<7*=5g825c=i:<o1=65`10a94?"5=o0:=k5a24g96>=h98h1<7*=5g825c=i:<o1?65`10c94?"5=o0:=k5a24g90>=h9831<7*=5g825c=i:<o1965`10:94?"5=o0:=k5a24g92>=h98=1<7*=5g825c=i:<o1;65`10494?"5=o0:=k5a24g9<>=h98?1<7*=5g825c=i:<o1565`10694?"5=o0:=k5a24g9e>=h9891<7*=5g825c=i:<o1n65`10394?"5=o0:=k5a24g9g>=h98:1<7*=5g825c=i:<o1h65`11d94?"5=o0:=k5a24g9a>=h99o1<7*=5g825c=i:<o1j65`11f94?"5=o0:=k5a24g955=<g8:h6=4+24d954`<f;?n6<?4;n33f?6=,;?m6<?i;o06a?7532e:<l4?:%06b?76n2d99h4>3:9l55?=83.99k4>1g9m60c=9=10c<>7:18'60`=98l0b?;j:078?j75?3:1(?;i:03e?k42m3;=76a>2783>!42n3;:j6`=5d823>=h9;?1<7*=5g825c=i:<o1=554o007>5<#:<l1=<h4n37f>4?<3f;9?7>5$37e>47a3g8>i7?n;:m267<72-8>j7?>f:l11`<6j21d=??50;&11c<69o1e>8k51b98k47c290/>8h510d8j73b28n07b?>2;29 73a28;m7c<:e;3f?>i68>0;6)<:f;32b>h5=l0:j65`15094?"5=o0:8<5a24g94>=h9=:1<7*=5g8204=i:<o1=65`12g94?"5=o0:8<5a24g96>=h9:n1<7*=5g8204=i:<o1?65`12a94?"5=o0:8<5a24g90>=h9:h1<7*=5g8204=i:<o1965`12c94?"5=o0:8<5a24g92>=h9:31<7*=5g8204=i:<o1;65`12:94?"5=o0:8<5a24g9<>=h9:=1<7*=5g8204=i:<o1565`12494?"5=o0:8<5a24g9e>=h9:?1<7*=5g8204=i:<o1n65`12194?"5=o0:8<5a24g9g>=h9:81<7*=5g8204=i:<o1h65`12394?"5=o0:8<5a24g9a>=h9::1<7*=5g8204=i:<o1j65`13d94?"5=o0:8<5a24g955=<g88n6=4+24d9517<f;?n6<?4;n31`?6=,;?m6<:>;o06a?7532e:>n4?:%06b?7392d99h4>3:9l57d=83.99k4>409m60c=9=10c<<n:18'60`=9=;0b?;j:078?j7313:1(?;i:062?k42m3;=76a>4983>!42n3;?=6`=5d823>=h9==1<7*=5g8204=i:<o1=554o065>5<#:<l1=9?4n37f>4?<3f;?97>5$37e>4263g8>i7?n;:m201<72-8>j7?;1:l11`<6j21d=9=50;&11c<6<81e>8k51b98k45a290/>8h51538j73b28n07b?<4;29 73a28>:7c<:e;3f?>i6:00;6)<:f;375>h5=l0:j65`15d94?"5=o0:8h5a24g94>=h9=n1<7*=5g820`=i:<o1=65`15a94?"5=o0:8h5a24g96>=h9=h1<7*=5g820`=i:<o1?65`14694?"5=o0:9>5a24g94>=h9<81<7*=5g8216=i:<o1=65`14394?"5=o0:9>5a24g96>=h9<:1<7*=5g8216=i:<o1?65rbc50>5<d:3:1<v*=dg81f`=O;9k0D?k<;[4:>f}5?3836?o52c807?532:?1?;4=e;0e>61=;10v(<oj:59'5d`=<2.:n=4;;%3a5?2<,8h9695+1c190>"6j=0?7)?m5;68 4d12=1/=o954:&2f=<33-;i57:4$0`b>1=#9kh186*>bb87?!7el3>0(<lj:59'5g`=<2.:o=4;;%3`5?2<,8i9695+1b190>"6k=0?7)?l5;68 4e12=1/=n954:&2g=<33-;h57:4$0ab>1=#9jh186*>cb87?!7dl3>0(<mj:59'5f`=<2.:h=4;;%3g5?2<,8n9695+1e190>"6l=0?7)?k7;06<>"6l<087)?k6;18 4>d2=1/=5j54:&2<`<33-;3j7:4$0;3>1=#90;186*>d8823f=#9mk1=:m4$3`e>73?3-8h<7<:8:l1`7<>3g8o?774$3f:>73?3-;2>7:4$0;0>1=#:o81>k?4$3d0>7`63g8m8774n3d6><=#903186*>9`87?!7>j3>0(<7l:59'5<b=<2.:5h4;;%3:b?2<,8k;695+1`390>"6i;0?7)?n3;68 4g32=1/=l;54:&2e3<33-;j;7:4$0c;>1=#9h3186*>a`87?!7fj3>0(<ol:59'5db=<2d:>54?;o37e?6<,;n36<9l;%0gf?5<,83>695+18490>"61>0?7)?68;68 7bd2:1b:o4?::k5g?6=3`=:6=44i6094?=n9>21<75f2e694?=n:m?1<75f16;94?=n:mn1<75f2eg94?=h>m0;66a9e;29?l2e290/>8h54`9m60c=821b844?:%06b?2f3g8>i7?4;h6;>5<#:<l18l5a24g96>=n<>0;6)<:f;6b?k42m3907d:9:18'60`=<h1e>8k54:9j11<72-8>j7:n;o06a?3<3`?86=4+24d90d=i:<o1:65f5383>!42n3>j7c<:e;58?l36290/>8h54`9m60c=021b9=4?:%06b?2f3g8>i774;h6e>5<#:<l18l5a24g9e>=n<l0;6)<:f;6b?k42m3h07d:k:18'60`=<h1e>8k5c:9j0f<72-8>j7:n;o06a?b<3`>>6=4+24d90d=i:<o1i65f5c83>!42n3?j7c<:e;28?l3>290/>8h55`9m60c=921b954?:%06b?3f3g8>i7<4;h74>5<#:<l19l5a24g97>=n=?0;6)<:f;7b?k42m3>07d8;:18'60`==h1e>8k55:9j26<72-8>j7;n;o06a?0<3`<96=4+24d91d=i:<o1;65f6083>!42n3?j7c<:e;:8?l07290/>8h55`9m60c=121b9k4?:%06b?3f3g8>i7o4;h7f>5<#:<l19l5a24g9f>=n=m0;6)<:f;7b?k42m3i07d;l:18'60`==h1e>8k5d:9j10<72-8>j7;n;o06a?c<3`h86=4+24d9f7=i:<o1<65fb083>!42n3h97c<:e;38?lga290/>8h5b39m60c=:21bmh4?:%06b?d53g8>i7=4;hcg>5<#:<l1n?5a24g90>=nij0;6)<:f;`1?k42m3?07dom:18'60`=j;1e>8k56:9jed<72-8>j7l=;o06a?1<3`k26=4+24d9f7=i:<o1465fa983>!42n3h97c<:e;;8?lg0290/>8h5b39m60c=i21bm;4?:%06b?d53g8>i7l4;hc7>5<#:<l1n?5a24g9g>=ni:0;6)<:f;`1?k42m3n07do=:18'60`=j;1e>8k5e:9je4<72-8>j7l=;o06a?`<3`k;6=4+24d9f7=i:<o1==54i8d94?"5=o0i>6`=5d825>=n1l0;6)<:f;`1?k42m3;976g6d;29 73a2k80b?;j:018?l?d290/>8h5b39m60c=9=10e4l50;&11c<e:2d99h4>5:9jfd<72-8>j7l=;o06a?7132ci57>5$37e>g4<f;?n6<94;h`;>5<#:<l1n?5a24g95==<ak=1<7*=5g8a6>h5=l0:565fb783>!42n3h97c<:e;3b?>oe=3:1(?;i:c08j73b28h07dl;:18'60`=j;1e>8k51b98mg6=83.99k4m2:l11`<6l21bm84?:%06b?d53g8>i7?j;:k:e?6=,;?m6o<4n37f>4`<3`n?6=4+24d9`6=i:<o1<65fd383>!42n3n87c<:e;38?lb7290/>8h5d29m60c=:21bok4?:%06b?b43g8>i7=4;haf>5<#:<l1h>5a24g90>=nkm0;6)<:f;f0?k42m3?07dml:18'60`=l:1e>8k56:9jgg<72-8>j7j<;o06a?1<3`ij6=4+24d9`6=i:<o1465fc883>!42n3n87c<:e;;8?le?290/>8h5d29m60c=i21bo:4?:%06b?b43g8>i7l4;ha6>5<#:<l1h>5a24g9g>=nk=0;6)<:f;f0?k42m3n07dm<:18'60`=l:1e>8k5e:9jg7<72-8>j7j<;o06a?`<3`i:6=4+24d9`6=i:<o1==54ib294?"5=o0o?6`=5d825>=njo0;6)<:f;f0?k42m3;976gme;29 73a2m90b?;j:018?ldc290/>8h5d29m60c=9=10eom50;&11c<c;2d99h4>5:9j`g<72-8>j7j<;o06a?7132com7>5$37e>a5<f;?n6<94;hf:>5<#:<l1h>5a24g95==<am21<7*=5g8g7>h5=l0:565fd683>!42n3n87c<:e;3b?>oc>3:1(?;i:e18j73b28h07dj::18'60`=l:1e>8k51b98ma7=83.99k4k3:l11`<6l21bo;4?:%06b?b43g8>i7?j;:kaf?6=,;?m6i=4n37f>4`<3`;;=7>5$37e>4673g8>i7>4;hde>5<#:<l1==>4n37f>4=<aoo1<7*=5g8245=i:<o1>65ffe83>!42n3;;<6`=5d80?>o68?0;6)<:f;331>h5=l0;76g>0583>!42n3;;96`=5d82?>o68:0;6)<:f;331>h5=l0976g>0383>!42n3;;96`=5d80?>o5mk0;6)<:f;0fe>h5=l0;76g=e883>!42n38nm6`=5d82?>o5m10;6)<:f;0fe>h5=l0976g=e683>!42n38nm6`=5d80?>o5n90;6)<:f;0fb>h5=l0;76g=ed83>!42n38nj6`=5d82?>o5mm0;6)<:f;0fb>h5=l0976g=eb83>!42n38nj6`=5d80?>i6:90;6)<:f;32b>h5=l0;76a>1d83>!42n3;:j6`=5d82?>i69j0;6)<:f;32b>h5=l0976a>1c83>!42n3;:j6`=5d80?>i69h0;6)<:f;32b>h5=l0?76a>1883>!42n3;:j6`=5d86?>i6910;6)<:f;32b>h5=l0=76a>1683>!42n3;:j6`=5d84?>i69?0;6)<:f;32b>h5=l0376a>1483>!42n3;:j6`=5d8:?>i69=0;6)<:f;32b>h5=l0j76a>1283>!42n3;:j6`=5d8a?>i6980;6)<:f;32b>h5=l0h76a>1183>!42n3;:j6`=5d8g?>i68o0;6)<:f;32b>h5=l0n76a>0d83>!42n3;:j6`=5d8e?>i68m0;6)<:f;32b>h5=l0:<65`11a94?"5=o0:=k5a24g954=<g8:i6=4+24d954`<f;?n6<<4;n33e?6=,;?m6<?i;o06a?7432e:<44?:%06b?76n2d99h4>4:9l55>=83.99k4>1g9m60c=9<10c<<8:18'60`=98l0b?;j:048?j75>3:1(?;i:03e?k42m3;<76a>2483>!42n3;:j6`=5d82<>=h9;>1<7*=5g825c=i:<o1=454o000>5<#:<l1=<h4n37f>4g<3f;9>7>5$37e>47a3g8>i7?m;:m264<72-8>j7?>f:l11`<6k21d=<j50;&11c<69o1e>8k51e98k475290/>8h510d8j73b28o07b??7;29 73a28;m7c<:e;3e?>i6<;0;6)<:f;375>h5=l0;76a>4183>!42n3;?=6`=5d82?>i6;l0;6)<:f;375>h5=l0976a>3e83>!42n3;?=6`=5d80?>i6;j0;6)<:f;375>h5=l0?76a>3c83>!42n3;?=6`=5d86?>i6;h0;6)<:f;375>h5=l0=76a>3883>!42n3;?=6`=5d84?>i6;10;6)<:f;375>h5=l0376a>3683>!42n3;?=6`=5d8:?>i6;?0;6)<:f;375>h5=l0j76a>3483>!42n3;?=6`=5d8a?>i6;:0;6)<:f;375>h5=l0h76a>3383>!42n3;?=6`=5d8g?>i6;80;6)<:f;375>h5=l0n76a>3183>!42n3;?=6`=5d8e?>i6:o0;6)<:f;375>h5=l0:<65`13g94?"5=o0:8<5a24g954=<g88o6=4+24d9517<f;?n6<<4;n31g?6=,;?m6<:>;o06a?7432e:>o4?:%06b?7392d99h4>4:9l57g=83.99k4>409m60c=9<10c<:6:18'60`=9=;0b?;j:048?j7303:1(?;i:062?k42m3;<76a>4683>!42n3;?=6`=5d82<>=h9=<1<7*=5g8204=i:<o1=454o066>5<#:<l1=9?4n37f>4g<3f;?87>5$37e>4263g8>i7?m;:m206<72-8>j7?;1:l11`<6k21d=>h50;&11c<6<81e>8k51e98k453290/>8h51538j73b28o07b?=9;29 73a28>:7c<:e;3e?>i6<o0;6)<:f;37a>h5=l0;76a>4e83>!42n3;?i6`=5d82?>i6<j0;6)<:f;37a>h5=l0976a>4c83>!42n3;?i6`=5d80?>i6==0;6)<:f;367>h5=l0;76a>5383>!42n3;>?6`=5d82?>i6=80;6)<:f;367>h5=l0976a>5183>!42n3;>?6`=5d80?>{ej>>1<7m=:183\7f!4cn38ii6F<0`9K6`5<R?31ov<8:3:96d<5j3986>:534802?4b2;l1?:4<8;\7f'5dc=<2.:mk4;;%3a4?2<,8h:695+1c090>"6j:0?7)?m4;68 4d22=1/=o854:&2f2<33-;i47:4$0`:>1=#9kk186*>bc87?!7ek3>0(<lk:59'5gc=<2.:nk4;;%3`4?2<,8i:695+1b090>"6k:0?7)?l4;68 4e22=1/=n854:&2g2<33-;h47:4$0a:>1=#9jk186*>cc87?!7dk3>0(<mk:59'5fc=<2.:ok4;;%3g4?2<,8n:695+1e090>"6l:0?7)?k4;68 4b02;?37)?k5;18 4b12:1/=5m54:&2<a<33-;3i7:4$0:e>1=#90:186*>9087?!7c13;<o6*>d`823f=#:kl1>864$3a3>73?3g8o>774n3f0><=#:m31>864$0;1>1=#909186*=f381b4=#:o91>k?4n3d7><=i:o?156*>9887?!7>i3>0(<7m:59'5<e=<2.:5i4;;%3:a?2<,83m695+1`290>"6i80?7)?n2;68 4g42=1/=l:54:&2e0<33-;j:7:4$0c4>1=#9h2186*>a887?!7fi3>0(<om:59'5de=<2.:mi4;;o31<?6<f8>j6=5+2e:952e<,;ni6>5+18790>"61?0?7)?67;68 4??2=1/>im53:k5f?6=3`<h6=44i6394?=n?;0;66g>7983>>o5l=0;66g=d483>>o6?00;66g=de83>>o5ll0;66a9d;29?j0b2900e9l50;&11c<3i2d99h4?;:k7=?6=,;?m69o4n37f>4=<a=21<7*=5g87e>h5=l0976g;7;29 73a2=k0b?;j:298m10=83.99k4;a:l11`<332c>87>5$37e>1g<f;?n6854i4194?"5=o0?m6`=5d85?>o2:3:1(?;i:5c8j73b2>10e8?50;&11c<3i2d99h47;:k64?6=,;?m69o4n37f><=<a=l1<7*=5g87e>h5=l0j76g;e;29 73a2=k0b?;j:c98m1b=83.99k4;a:l11`<d32c?o7>5$37e>1g<f;?n6i54i5794?"5=o0?m6`=5d8f?>o2j3:1(?;i:4c8j73b2910e8750;&11c<2i2d99h4>;:k6<?6=,;?m68o4n37f>7=<a<=1<7*=5g86e>h5=l0876g:6;29 73a2<k0b?;j:598m32=83.99k4:a:l11`<232c=?7>5$37e>0g<f;?n6;54i7094?"5=o0>m6`=5d84?>o193:1(?;i:4c8j73b2110e;>50;&11c<2i2d99h46;:k6b?6=,;?m68o4n37f>d=<a<o1<7*=5g86e>h5=l0i76g:d;29 73a2<k0b?;j:b98m0e=83.99k4:a:l11`<c32c>97>5$37e>0g<f;?n6h54ic194?"5=o0i>6`=5d83?>oe93:1(?;i:c08j73b2810elh50;&11c<e:2d99h4=;:kba?6=,;?m6o<4n37f>6=<ahn1<7*=5g8a6>h5=l0?76gnc;29 73a2k80b?;j:498mdd=83.99k4m2:l11`<132cjm7>5$37e>g4<f;?n6:54i`;94?"5=o0i>6`=5d8;?>of03:1(?;i:c08j73b2010el950;&11c<e:2d99h4n;:kb2?6=,;?m6o<4n37f>g=<ah>1<7*=5g8a6>h5=l0h76gn3;29 73a2k80b?;j:e98md4=83.99k4m2:l11`<b32cj=7>5$37e>g4<f;?n6k54i`294?"5=o0i>6`=5d824>=n1o0;6)<:f;`1?k42m3;:76g6e;29 73a2k80b?;j:008?l?c290/>8h5b39m60c=9:10e4m50;&11c<e:2d99h4>4:9j=g<72-8>j7l=;o06a?7232cim7>5$37e>g4<f;?n6<84;h`:>5<#:<l1n?5a24g952=<ak21<7*=5g8a6>h5=l0:465fb683>!42n3h97c<:e;3:?>oe>3:1(?;i:c08j73b28k07dl::18'60`=j;1e>8k51c98mg2=83.99k4m2:l11`<6k21bn=4?:%06b?d53g8>i7?k;:kb1?6=,;?m6o<4n37f>4c<3`3j6=4+24d9f7=i:<o1=k54ie694?"5=o0o?6`=5d83?>oc:3:1(?;i:e18j73b2810ei>50;&11c<c;2d99h4=;:k`b?6=,;?m6i=4n37f>6=<ajo1<7*=5g8g7>h5=l0?76gld;29 73a2m90b?;j:498mfe=83.99k4k3:l11`<132chn7>5$37e>a5<f;?n6:54ibc94?"5=o0o?6`=5d8;?>od13:1(?;i:e18j73b2010en650;&11c<c;2d99h4n;:k`3?6=,;?m6i=4n37f>g=<aj?1<7*=5g8g7>h5=l0h76gl4;29 73a2m90b?;j:e98mf5=83.99k4k3:l11`<b32ch>7>5$37e>a5<f;?n6k54ib394?"5=o0o?6`=5d824>=nk90;6)<:f;f0?k42m3;:76gmf;29 73a2m90b?;j:008?ldb290/>8h5d29m60c=9:10eoj50;&11c<c;2d99h4>4:9jff<72-8>j7j<;o06a?7232con7>5$37e>a5<f;?n6<84;hfb>5<#:<l1h>5a24g952=<am31<7*=5g8g7>h5=l0:465fd983>!42n3n87c<:e;3:?>oc?3:1(?;i:e18j73b28k07dj9:18'60`=l:1e>8k51c98ma3=83.99k4k3:l11`<6k21bh<4?:%06b?b43g8>i7?k;:k`2?6=,;?m6i=4n37f>4c<3`hi6=4+24d9`6=i:<o1=k54i022>5<#:<l1==>4n37f>5=<aol1<7*=5g8245=i:<o1=65ffd83>!42n3;;<6`=5d81?>oal3:1(?;i:023?k42m3907d??6;29 73a28:>7c<:e;28?l77<3:1(?;i:026?k42m3;07d??3;29 73a28:>7c<:e;08?l77:3:1(?;i:026?k42m3907d<jb;29 73a2;oj7c<:e;28?l4b13:1(?;i:3gb?k42m3;07d<j8;29 73a2;oj7c<:e;08?l4b?3:1(?;i:3gb?k42m3907d<i0;29 73a2;om7c<:e;28?l4bm3:1(?;i:3ge?k42m3;07d<jd;29 73a2;om7c<:e;08?l4bk3:1(?;i:3ge?k42m3907b?=0;29 73a28;m7c<:e;28?j76m3:1(?;i:03e?k42m3;07b?>c;29 73a28;m7c<:e;08?j76j3:1(?;i:03e?k42m3907b?>a;29 73a28;m7c<:e;68?j7613:1(?;i:03e?k42m3?07b?>8;29 73a28;m7c<:e;48?j76?3:1(?;i:03e?k42m3=07b?>6;29 73a28;m7c<:e;:8?j76=3:1(?;i:03e?k42m3307b?>4;29 73a28;m7c<:e;c8?j76;3:1(?;i:03e?k42m3h07b?>1;29 73a28;m7c<:e;a8?j7683:1(?;i:03e?k42m3n07b??f;29 73a28;m7c<:e;g8?j77m3:1(?;i:03e?k42m3l07b??d;29 73a28;m7c<:e;33?>i68j0;6)<:f;32b>h5=l0:=65`11`94?"5=o0:=k5a24g957=<g8:j6=4+24d954`<f;?n6<=4;n33=?6=,;?m6<?i;o06a?7332e:<54?:%06b?76n2d99h4>5:9l571=83.99k4>1g9m60c=9?10c<<9:18'60`=98l0b?;j:058?j75=3:1(?;i:03e?k42m3;376a>2583>!42n3;:j6`=5d82=>=h9;91<7*=5g825c=i:<o1=l54o001>5<#:<l1=<h4n37f>4d<3f;9=7>5$37e>47a3g8>i7?l;:m25a<72-8>j7?>f:l11`<6l21d=<<50;&11c<69o1e>8k51d98k460290/>8h510d8j73b28l07b?;2;29 73a28>:7c<:e;28?j7383:1(?;i:062?k42m3;07b?<e;29 73a28>:7c<:e;08?j74l3:1(?;i:062?k42m3907b?<c;29 73a28>:7c<:e;68?j74j3:1(?;i:062?k42m3?07b?<a;29 73a28>:7c<:e;48?j7413:1(?;i:062?k42m3=07b?<8;29 73a28>:7c<:e;:8?j74?3:1(?;i:062?k42m3307b?<6;29 73a28>:7c<:e;c8?j74=3:1(?;i:062?k42m3h07b?<3;29 73a28>:7c<:e;a8?j74:3:1(?;i:062?k42m3n07b?<1;29 73a28>:7c<:e;g8?j7483:1(?;i:062?k42m3l07b?=f;29 73a28>:7c<:e;33?>i6:l0;6)<:f;375>h5=l0:=65`13f94?"5=o0:8<5a24g957=<g88h6=4+24d9517<f;?n6<=4;n31f?6=,;?m6<:>;o06a?7332e:>l4?:%06b?7392d99h4>5:9l51?=83.99k4>409m60c=9?10c<:7:18'60`=9=;0b?;j:058?j73?3:1(?;i:062?k42m3;376a>4783>!42n3;?=6`=5d82=>=h9=?1<7*=5g8204=i:<o1=l54o067>5<#:<l1=9?4n37f>4d<3f;??7>5$37e>4263g8>i7?l;:m27c<72-8>j7?;1:l11`<6l21d=>:50;&11c<6<81e>8k51d98k44>290/>8h51538j73b28l07b?;f;29 73a28>n7c<:e;28?j73l3:1(?;i:06f?k42m3;07b?;c;29 73a28>n7c<:e;08?j73j3:1(?;i:06f?k42m3907b?:4;29 73a28?87c<:e;28?j72:3:1(?;i:070?k42m3;07b?:1;29 73a28?87c<:e;08?j7283:1(?;i:070?k42m3907plm7483>f4=83:p(?ji:3`f?M57i2B9i>5U688`\7f71=:109m7<m:21971<4=39=6?k52g803?5?2t.:mh4;;%3bb?2<,8h;695+1c390>"6j;0?7)?m3;68 4d32=1/=o;54:&2f3<33-;i;7:4$0`;>1=#9k3186*>b`87?!7ej3>0(<ll:59'5gb=<2.:nh4;;%3ab?2<,8i;695+1b390>"6k;0?7)?l3;68 4e32=1/=n;54:&2g3<33-;h;7:4$0a;>1=#9j3186*>c`87?!7dj3>0(<ml:59'5fb=<2.:oh4;;%3`b?2<,8n;695+1e390>"6l;0?7)?k3;68 4b32=1/=i9524:8 4b22:1/=i853:&2<f<33-;3h7:4$0:f>1=#91l186*>9187?!7>93>0(<j6:05`?!7ci3;<o6*=bg811==#:j:1>864n3f1><=i:m9156*=d8811==#908186*>9287?!4a:38m=6*=f281b4=i:o>156`=f48:?!7>13>0(<7n:59'5<d=<2.:5n4;;%3:`?2<,83n695+18d90>"6i90?7)?n1;68 4g52=1/=l=54:&2e1<33-;j97:4$0c5>1=#9h=186*>a987?!7f13>0(<on:59'5dd=<2.:mn4;;%3b`?2<f8836=5a15c94>"5l10:;n5+2e`97>"61<0?7)?66;68 4?02=1/=4654:&1`f<43`<i6=44i7a94?=n?80;66g82;29?l7003:17d<k4;29?l4c=3:17d?89;29?l4cl3:17d<ke;29?j0c2900c;k50;9j0g<72-8>j7:n;o06a?6<3`>26=4+24d90d=i:<o1=65f4983>!42n3>j7c<:e;08?l20290/>8h54`9m60c=;21b8;4?:%06b?2f3g8>i7:4;h77>5<#:<l18l5a24g91>=n=:0;6)<:f;6b?k42m3<07d;=:18'60`=<h1e>8k57:9j14<72-8>j7:n;o06a?><3`?;6=4+24d90d=i:<o1565f4g83>!42n3>j7c<:e;c8?l2b290/>8h54`9m60c=j21b8i4?:%06b?2f3g8>i7m4;h6`>5<#:<l18l5a24g9`>=n<<0;6)<:f;6b?k42m3o07d;m:18'60`==h1e>8k50:9j1<<72-8>j7;n;o06a?7<3`?36=4+24d91d=i:<o1>65f5683>!42n3?j7c<:e;18?l31290/>8h55`9m60c=<21b:94?:%06b?3f3g8>i7;4;h40>5<#:<l19l5a24g92>=n>;0;6)<:f;7b?k42m3=07d8>:18'60`==h1e>8k58:9j25<72-8>j7;n;o06a??<3`?m6=4+24d91d=i:<o1m65f5d83>!42n3?j7c<:e;`8?l3c290/>8h55`9m60c=k21b9n4?:%06b?3f3g8>i7j4;h76>5<#:<l19l5a24g9a>=nj:0;6)<:f;`1?k42m3:07dl>:18'60`=j;1e>8k51:9jec<72-8>j7l=;o06a?4<3`kn6=4+24d9f7=i:<o1?65fae83>!42n3h97c<:e;68?lgd290/>8h5b39m60c==21bmo4?:%06b?d53g8>i784;hcb>5<#:<l1n?5a24g93>=ni00;6)<:f;`1?k42m3207do7:18'60`=j;1e>8k59:9je2<72-8>j7l=;o06a?g<3`k=6=4+24d9f7=i:<o1n65fa583>!42n3h97c<:e;a8?lg4290/>8h5b39m60c=l21bm?4?:%06b?d53g8>i7k4;hc2>5<#:<l1n?5a24g9b>=ni90;6)<:f;`1?k42m3;;76g6f;29 73a2k80b?;j:038?l?b290/>8h5b39m60c=9;10e4j50;&11c<e:2d99h4>3:9j=f<72-8>j7l=;o06a?7332c2n7>5$37e>g4<f;?n6<;4;h`b>5<#:<l1n?5a24g953=<ak31<7*=5g8a6>h5=l0:;65fb983>!42n3h97c<:e;3;?>oe?3:1(?;i:c08j73b28307dl9:18'60`=j;1e>8k51`98mg3=83.99k4m2:l11`<6j21bn94?:%06b?d53g8>i7?l;:ka4?6=,;?m6o<4n37f>4b<3`k>6=4+24d9f7=i:<o1=h54i8c94?"5=o0i>6`=5d82b>=nl=0;6)<:f;f0?k42m3:07dj=:18'60`=l:1e>8k51:9j`5<72-8>j7j<;o06a?4<3`im6=4+24d9`6=i:<o1?65fcd83>!42n3n87c<:e;68?lec290/>8h5d29m60c==21bon4?:%06b?b43g8>i784;haa>5<#:<l1h>5a24g93>=nkh0;6)<:f;f0?k42m3207dm6:18'60`=l:1e>8k59:9jg=<72-8>j7j<;o06a?g<3`i<6=4+24d9`6=i:<o1n65fc483>!42n3n87c<:e;a8?le3290/>8h5d29m60c=l21bo>4?:%06b?b43g8>i7k4;ha1>5<#:<l1h>5a24g9b>=nk80;6)<:f;f0?k42m3;;76gl0;29 73a2m90b?;j:038?lda290/>8h5d29m60c=9;10eok50;&11c<c;2d99h4>3:9jfa<72-8>j7j<;o06a?7332cio7>5$37e>a5<f;?n6<;4;hfa>5<#:<l1h>5a24g953=<amk1<7*=5g8g7>h5=l0:;65fd883>!42n3n87c<:e;3;?>oc03:1(?;i:e18j73b28307dj8:18'60`=l:1e>8k51`98ma0=83.99k4k3:l11`<6j21bh84?:%06b?b43g8>i7?l;:kg5?6=,;?m6i=4n37f>4b<3`i=6=4+24d9`6=i:<o1=h54ic`94?"5=o0o?6`=5d82b>=n99;1<7*=5g8245=i:<o1<65ffg83>!42n3;;<6`=5d82?>oam3:1(?;i:023?k42m3807dhk:18'60`=99:0b?;j:298m461290/>8h51178j73b2910e<>;:18'60`=99?0b?;j:098m464290/>8h51178j73b2;10e<>=:18'60`=99?0b?;j:298m7ce290/>8h52dc8j73b2910e?k6:18'60`=:lk0b?;j:098m7c?290/>8h52dc8j73b2;10e?k8:18'60`=:lk0b?;j:298m7`7290/>8h52dd8j73b2910e?kj:18'60`=:ll0b?;j:098m7cc290/>8h52dd8j73b2;10e?kl:18'60`=:ll0b?;j:298k447290/>8h510d8j73b2910c<?j:18'60`=98l0b?;j:098k47d290/>8h510d8j73b2;10c<?m:18'60`=98l0b?;j:298k47f290/>8h510d8j73b2=10c<?6:18'60`=98l0b?;j:498k47?290/>8h510d8j73b2?10c<?8:18'60`=98l0b?;j:698k471290/>8h510d8j73b2110c<?::18'60`=98l0b?;j:898k473290/>8h510d8j73b2h10c<?<:18'60`=98l0b?;j:c98k476290/>8h510d8j73b2j10c<??:18'60`=98l0b?;j:e98k46a290/>8h510d8j73b2l10c<>j:18'60`=98l0b?;j:g98k46c290/>8h510d8j73b28:07b??c;29 73a28;m7c<:e;32?>i68k0;6)<:f;32b>h5=l0:>65`11c94?"5=o0:=k5a24g956=<g8:26=4+24d954`<f;?n6<:4;n33<?6=,;?m6<?i;o06a?7232e:>:4?:%06b?76n2d99h4>6:9l570=83.99k4>1g9m60c=9>10c<<::18'60`=98l0b?;j:0:8?j75<3:1(?;i:03e?k42m3;276a>2283>!42n3;:j6`=5d82e>=h9;81<7*=5g825c=i:<o1=o54o002>5<#:<l1=<h4n37f>4e<3f;:h7>5$37e>47a3g8>i7?k;:m257<72-8>j7?>f:l11`<6m21d==950;&11c<69o1e>8k51g98k425290/>8h51538j73b2910c<:?:18'60`=9=;0b?;j:098k45b290/>8h51538j73b2;10c<=k:18'60`=9=;0b?;j:298k45d290/>8h51538j73b2=10c<=m:18'60`=9=;0b?;j:498k45f290/>8h51538j73b2?10c<=6:18'60`=9=;0b?;j:698k45?290/>8h51538j73b2110c<=8:18'60`=9=;0b?;j:898k451290/>8h51538j73b2h10c<=::18'60`=9=;0b?;j:c98k454290/>8h51538j73b2j10c<==:18'60`=9=;0b?;j:e98k456290/>8h51538j73b2l10c<=?:18'60`=9=;0b?;j:g98k44a290/>8h51538j73b28:07b?=e;29 73a28>:7c<:e;32?>i6:m0;6)<:f;375>h5=l0:>65`13a94?"5=o0:8<5a24g956=<g88i6=4+24d9517<f;?n6<:4;n31e?6=,;?m6<:>;o06a?7232e:844?:%06b?7392d99h4>6:9l51>=83.99k4>409m60c=9>10c<:8:18'60`=9=;0b?;j:0:8?j73>3:1(?;i:062?k42m3;276a>4483>!42n3;?=6`=5d82e>=h9=>1<7*=5g8204=i:<o1=o54o060>5<#:<l1=9?4n37f>4e<3f;8j7>5$37e>4263g8>i7?k;:m271<72-8>j7?;1:l11`<6m21d=?750;&11c<6<81e>8k51g98k42a290/>8h515g8j73b2910c<:k:18'60`=9=o0b?;j:098k42d290/>8h515g8j73b2;10c<:m:18'60`=9=o0b?;j:298k433290/>8h51418j73b2910c<;=:18'60`=9<90b?;j:098k436290/>8h51418j73b2;10c<;?:18'60`=9<90b?;j:298ygd0>3:1o?4?:1y'6a`=:ko0D>>n;I0f7>\113ip>:4=8;0b>7d=;:0887=::2496`<5n39<6>65}%3ba?2<,8km695+1c290>"6j80?7)?m2;68 4d42=1/=o:54:&2f0<33-;i:7:4$0`4>1=#9k2186*>b887?!7ei3>0(<lm:59'5ge=<2.:ni4;;%3aa?2<,8hm695+1b290>"6k80?7)?l2;68 4e42=1/=n:54:&2g0<33-;h:7:4$0a4>1=#9j2186*>c887?!7di3>0(<mm:59'5fe=<2.:oi4;;%3`a?2<,8im695+1e290>"6l80?7)?k2;68 4b42=1/=i:54:&2`2<5=11/=i;53:&2`3<43-;3o7:4$0:g>1=#91o186*>8g87?!7>83>0(<7>:59'5a?=9>i0(<jn:05`?!4en38>46*=c1811==i:m8156`=d28:?!4c138>46*>9387?!7>;3>0(?h=:3d2?!4a;38m=6`=f58:?k4a=330(<76:59'5<g=<2.:5o4;;%3:g?2<,83o695+18g90>"61o0?7)?n0;68 4g62=1/=l<54:&2e6<33-;j87:4$0c6>1=#9h<186*>a687?!7f03>0(<o6:59'5dg=<2.:mo4;;%3bg?2<,8ko695a13:94>h6<h0;7)<k8;34g>"5lk087)?65;68 4?12=1/=4954:&2==<33-8oo7=4i7`94?=n>j0;66g81;29?l152900e<97:188m7b32900e?j::188m41>2900e?jk:188m7bb2900c;j50;9l2`<722c?n7>5$37e>1g<f;?n6=54i5;94?"5=o0?m6`=5d82?>o303:1(?;i:5c8j73b2;10e9950;&11c<3i2d99h4<;:k72?6=,;?m69o4n37f>1=<a<>1<7*=5g87e>h5=l0>76g:3;29 73a2=k0b?;j:798m04=83.99k4;a:l11`<032c>=7>5$37e>1g<f;?n6554i4294?"5=o0?m6`=5d8:?>o3n3:1(?;i:5c8j73b2h10e9k50;&11c<3i2d99h4m;:k7`?6=,;?m69o4n37f>f=<a=i1<7*=5g87e>h5=l0o76g;5;29 73a2=k0b?;j:d98m0d=83.99k4:a:l11`<732c>57>5$37e>0g<f;?n6<54i4:94?"5=o0>m6`=5d81?>o2?3:1(?;i:4c8j73b2:10e8850;&11c<2i2d99h4;;:k50?6=,;?m68o4n37f>0=<a?91<7*=5g86e>h5=l0=76g92;29 73a2<k0b?;j:698m37=83.99k4:a:l11`<?32c=<7>5$37e>0g<f;?n6454i4d94?"5=o0>m6`=5d8b?>o2m3:1(?;i:4c8j73b2k10e8j50;&11c<2i2d99h4l;:k6g?6=,;?m68o4n37f>a=<a<?1<7*=5g86e>h5=l0n76gm3;29 73a2k80b?;j:198mg7=83.99k4m2:l11`<632cjj7>5$37e>g4<f;?n6?54i`g94?"5=o0i>6`=5d80?>ofl3:1(?;i:c08j73b2=10elm50;&11c<e:2d99h4:;:kbf?6=,;?m6o<4n37f>3=<ahk1<7*=5g8a6>h5=l0<76gn9;29 73a2k80b?;j:998md>=83.99k4m2:l11`<>32cj;7>5$37e>g4<f;?n6l54i`494?"5=o0i>6`=5d8a?>of<3:1(?;i:c08j73b2j10el=50;&11c<e:2d99h4k;:kb6?6=,;?m6o<4n37f>`=<ah;1<7*=5g8a6>h5=l0m76gn0;29 73a2k80b?;j:028?l?a290/>8h5b39m60c=9810e4k50;&11c<e:2d99h4>2:9j=a<72-8>j7l=;o06a?7432c2o7>5$37e>g4<f;?n6<:4;h;a>5<#:<l1n?5a24g950=<akk1<7*=5g8a6>h5=l0::65fb883>!42n3h97c<:e;34?>oe03:1(?;i:c08j73b28207dl8:18'60`=j;1e>8k51898mg0=83.99k4m2:l11`<6i21bn84?:%06b?d53g8>i7?m;:ka0?6=,;?m6o<4n37f>4e<3`h;6=4+24d9f7=i:<o1=i54i`794?"5=o0i>6`=5d82a>=n1h0;6)<:f;`1?k42m3;m76gk4;29 73a2m90b?;j:198ma4=83.99k4k3:l11`<632co<7>5$37e>a5<f;?n6?54ibd94?"5=o0o?6`=5d80?>odm3:1(?;i:e18j73b2=10enj50;&11c<c;2d99h4:;:k`g?6=,;?m6i=4n37f>3=<ajh1<7*=5g8g7>h5=l0<76gla;29 73a2m90b?;j:998mf?=83.99k4k3:l11`<>32ch47>5$37e>a5<f;?n6l54ib594?"5=o0o?6`=5d8a?>od=3:1(?;i:e18j73b2j10en:50;&11c<c;2d99h4k;:k`7?6=,;?m6i=4n37f>`=<aj81<7*=5g8g7>h5=l0m76gl1;29 73a2m90b?;j:028?le7290/>8h5d29m60c=9810eoh50;&11c<c;2d99h4>2:9jf`<72-8>j7j<;o06a?7432cih7>5$37e>a5<f;?n6<:4;h``>5<#:<l1h>5a24g950=<amh1<7*=5g8g7>h5=l0::65fd`83>!42n3n87c<:e;34?>oc13:1(?;i:e18j73b28207dj7:18'60`=l:1e>8k51898ma1=83.99k4k3:l11`<6i21bh;4?:%06b?b43g8>i7?m;:kg1?6=,;?m6i=4n37f>4e<3`n:6=4+24d9`6=i:<o1=i54ib494?"5=o0o?6`=5d82a>=njk0;6)<:f;f0?k42m3;m76g>0083>!42n3;;<6`=5d83?>oan3:1(?;i:023?k42m3;07dhj:18'60`=99:0b?;j:398mcb=83.99k4>019m60c=;21b==850;&11c<68<1e>8k50:9j552=83.99k4>049m60c=921b===50;&11c<68<1e>8k52:9j554=83.99k4>049m60c=;21b>hl50;&11c<5mh1e>8k50:9j6`?=83.99k4=e`9m60c=921b>h650;&11c<5mh1e>8k52:9j6`1=83.99k4=e`9m60c=;21b>k>50;&11c<5mo1e>8k50:9j6`c=83.99k4=eg9m60c=921b>hj50;&11c<5mo1e>8k52:9j6`e=83.99k4=eg9m60c=;21d=?>50;&11c<69o1e>8k50:9l54c=83.99k4>1g9m60c=921d=<m50;&11c<69o1e>8k52:9l54d=83.99k4>1g9m60c=;21d=<o50;&11c<69o1e>8k54:9l54?=83.99k4>1g9m60c==21d=<650;&11c<69o1e>8k56:9l541=83.99k4>1g9m60c=?21d=<850;&11c<69o1e>8k58:9l543=83.99k4>1g9m60c=121d=<:50;&11c<69o1e>8k5a:9l545=83.99k4>1g9m60c=j21d=<?50;&11c<69o1e>8k5c:9l546=83.99k4>1g9m60c=l21d==h50;&11c<69o1e>8k5e:9l55c=83.99k4>1g9m60c=n21d==j50;&11c<69o1e>8k51198k46d290/>8h510d8j73b28;07b??b;29 73a28;m7c<:e;31?>i68h0;6)<:f;32b>h5=l0:?65`11;94?"5=o0:=k5a24g951=<g8:36=4+24d954`<f;?n6<;4;n313?6=,;?m6<?i;o06a?7132e:>;4?:%06b?76n2d99h4>7:9l573=83.99k4>1g9m60c=9110c<<;:18'60`=98l0b?;j:0;8?j75;3:1(?;i:03e?k42m3;j76a>2383>!42n3;:j6`=5d82f>=h9;;1<7*=5g825c=i:<o1=n54o03g>5<#:<l1=<h4n37f>4b<3f;:>7>5$37e>47a3g8>i7?j;:m242<72-8>j7?>f:l11`<6n21d=9<50;&11c<6<81e>8k50:9l516=83.99k4>409m60c=921d=>k50;&11c<6<81e>8k52:9l56b=83.99k4>409m60c=;21d=>m50;&11c<6<81e>8k54:9l56d=83.99k4>409m60c==21d=>o50;&11c<6<81e>8k56:9l56?=83.99k4>409m60c=?21d=>650;&11c<6<81e>8k58:9l561=83.99k4>409m60c=121d=>850;&11c<6<81e>8k5a:9l563=83.99k4>409m60c=j21d=>=50;&11c<6<81e>8k5c:9l564=83.99k4>409m60c=l21d=>?50;&11c<6<81e>8k5e:9l566=83.99k4>409m60c=n21d=?h50;&11c<6<81e>8k51198k44b290/>8h51538j73b28;07b?=d;29 73a28>:7c<:e;31?>i6:j0;6)<:f;375>h5=l0:?65`13`94?"5=o0:8<5a24g951=<g88j6=4+24d9517<f;?n6<;4;n37=?6=,;?m6<:>;o06a?7132e:854?:%06b?7392d99h4>7:9l511=83.99k4>409m60c=9110c<:9:18'60`=9=;0b?;j:0;8?j73=3:1(?;i:062?k42m3;j76a>4583>!42n3;?=6`=5d82f>=h9=91<7*=5g8204=i:<o1=n54o01e>5<#:<l1=9?4n37f>4b<3f;887>5$37e>4263g8>i7?j;:m26<<72-8>j7?;1:l11`<6n21d=9h50;&11c<6<l1e>8k50:9l51b=83.99k4>4d9m60c=921d=9m50;&11c<6<l1e>8k52:9l51d=83.99k4>4d9m60c=;21d=8:50;&11c<6=:1e>8k50:9l504=83.99k4>529m60c=921d=8?50;&11c<6=:1e>8k52:9l506=83.99k4>529m60c=;21vn::9:186>5<7s-8oj7?j4:J04d=O:l90(<7;:2a8m4>?2900e<66:188m4>f2900e<6m:188k4bc2900qo8nb;291?6=8r.9hk4>e59K75g<@;o87)?64;1`?l7?03:17d?79;29?l7?i3:17d?7b;29?j7cl3:17p}89;296f}Y?0168h656g9>0`?=>o168hk56g9>0``=>o168k>56g9>0c7=>o168k<56g9>0c5=>o168k:56g9>0c3=>o168ho56g9>0`b=>o168hl56g9>0`e=>o1684856g9>0<1=>o1684m56g9>0<b=>o1684k56g9>0<`=>o168l>56g9>0d7=>o168l<56g9>0d5=>o1684656g9>0<d=>o1684756g9>0<g=>o1688<56g9>005=>o1688656g9>00?=>o1688o56g9>00d=>o1688m56g9>00b=>o1688k56g9>00`=>o1688:56g9>003=>o1688856g9>001=>o16;n8524;8yv7?;3:1;vP88:\2<6=:0>o1>oj4=9f0>4>>342<h7?79:?;4=<600164=;51958yv4c93:19vP=d09>3f0=91201o6?:0:;?8>3:3;34638cc82<==z{?<1<7<t^7489=612;ho7p}=d783>3}Y:m<015k;:343?8>b038=<637e68125=:0l<1>;>4=9g6>7073ty:;:4?:9y]32=Y9>=015:<:3`g?81d>3;3563m8182<<=:0=81=574=6aa>4>>34=h47?77:\7fp3d<72hopR:o4=725>3`<5?:<6;h4=72`>3`<5?:o6;h4=72f>3`<5?:m6;h4=733>3`<5?;:6;h4=731>3`<5?;86;h4=72;>3`<5?:i6;h4=72:>3`<5?:j6;h4=4`7>3`<5<h>6;h4=4`b>3`<5<hi6;h4=4``>3`<5<ho6;h4=4`f>3`<5<hm6;h4=4a3>3`<5<i:6;h4=4`5>3`<5<h26;h4=4`4>3`<5<h36;h4=453>3`<5<=:6;h4=455>3`<5<=<6;h4=45;>3`<5<=26;h4=45b>3`<5<=i6;h4=45`>3`<5<=o6;h4=451>3`<5<=>6;h4=450>3`<5<=?6;h4=40`>3`<5<8o6;h4=411>3`<5<986;h4=417>3`<5<9>6;h4=415>3`<5<9<6;h4=41;>3`<5<926;h4=40f>3`<5<8m6;h4=413>3`<5<9:6;h4=9f0>73>34h=>7<jb:?a27<5m016n;<52d:89g052;o<70l9d;0ff>;e>m09i452b7f96`><5k<o6?k8;<`43?4bj27i;:4=e89>f21=:l201o98:3g4?8d0038nn63m7981a<=:j>21>h64=c5;>7c034h<57<jb:?a3<<5m016n:752d:89g1>2;o<70l8a;0ff>;e?h09i452b6c96`><5k=j6?k8;<`4f?4bj27i;o4=e89>f2d=:l201o9m:3g4?8d0k38nn63m7b81a<=:j>i1>h64=c5`>7c034h<h7<jb:?a3a<5m016n:j52d:89g1c2;o<70l8e;0ff>;e?l09i452b6g96`><5k=n6?k8;<`57?4bj27i:>4=e89>f35=:l201o8<:3g4?8d1<38nn63m6581a<=:j?>1>h64=c47>7c034h=97<jb:?a20<5m016n;;52d:89g022;o<70l96;0ff>;e>?09i452b7496`><5k<=6?k8;<`53?4bj27i::4=e89>f31=:l201o88:3g4?8d1038nn63m6981a<=:j?21>h64=c4;>7c034h=57<jb:?a2<<5m016n;752d:89g0>2;o<70l9a;0ff>;e>h09i452b7c96`><5k<j6?k8;<`5f?4bj27i:o4=e89>f3d=:l201o8m:3g4?8d1k38nn63m6b81a<=:j?i1>h64=c4`>7c034h=i7<jb:?a2`<5m016n;k52d:89g0b2;o<70l9f;0ff>;e>o09i452b7d96`><5k<m6?k8;<`44?4bj27i;=4=e89>f26=:l201o9?:3g4?8d0938nn63m7081a<=:j>;1>h64=c52>7c034h<>7<jb:?a37<5m016n:<52d:89g152;o<70l83;0ff>;e?:09i452b6196`><5k=86?k8;<`40?4bj27i;94=e89>f22=:l201o9;:3g4?8d0=38nn63m7481a<=:j>?1>h64=c56>7c034h<:7<jb:?a33<5m016n:852d:89g112;o<7p}=8g83><?>sW8m:6P=e09]60d<V;o?7S<91:\1<c=:;9h1=5;4=9g;>20<51o=6:84=4a1>26<5<i26474=4aa><?<5<io6474=4ae><?<5<n:6474=4f0><?<5<n>6474=4f4><?<5<n26474=4a0><?<5<i>6474=4a4><?<5<=n6:>4=4:6><?<5<2<6474=4::><?<5<2i6474=4:g><?<5<2m6474=4;2><?<5<386474=4;6><?<5<=m6474=4:2><?<5<286474=5c7>26<5=ki6474=5cg><?<5=km6474=5`2><?<5=h86474=5`6><?<5=h<6474=5`:><?<5=hi6474=5c6><?<5=k<6474=5c:><?<5<9j6:>4=462><?<5<>86474=466><?<5<><6474=46:><?<5<>i6474=46g><?<5<>m6474=472><?<5<9i6474=41g><?<5<9m6474=543>26<5=<<6474=54:><?<5=<i6474=54g><?<5=<m6474=552><?<5==86474=556><?<5==<6474=542><?<5=<86474=546><?<5=l=6:>4=5dg><?<5=lm6474=422><?<5<:86474=426><?<5<:<6474=42:><?<5<:i6474=42g><?<5=l<6474=5d:><?<5=li6474=53`>26<5=886474=506><?<5=8<6474=50:><?<5=8i6474=50g><?<5=8m6474=512><?<5=986474=53g><?<5=;m6474=502><?<51>j6474=96a><?<51>h6474=96g><?<51>n6474=96e><?<51?;6474=946><?<51<=6474=944><?<51<36474=94:><?<51<j6474=94a><?<512>6474=9:5><?<512<6474=9:;><?<51226474=9:b><?<512i6474=9c3><?<51k:6474=9c1><?<51k86474=9c7><?<51k>6474=9c5><?<5>n96474=6f0><?<5>n?6474=6f6><?<5>n=6474=6f4><?<5>n36474=6gg><?<5>on6474=6ge><?<5>l;6474=6d2><?<5>l96474=6d0><?<51:m6474=933><?<51;:6474=931><?<51;86474=937><?<51;>6474=90b><?<518i6474=90`><?<518o6474=90f><?<518m6474=913><?<5k<96;l4=c41>3e<5k<96?j;;<`56?4c=27i:?4;b:?a27<2j27i:?4m3:?a27<e927i:?4nf:?a27<fm27i:?4nd:?a27<fk27i:?4nb:?a27<fi27i:?4n9:?a27<f027i:?4n7:?a27<f>27i:?4n4:?a27<f;27i:?4n2:?a27<f927i:?4n0:?a27<>n27i:?46e:?a27<>l27i:?46c:?a27<>j27i:?4ma:?a27<e127i:?4m8:?a27<e?27i:?4m6:?a27<e=27i:?4m4:?a27<e827i:?4n5:?a27<c<27i:?4k2:?a27<c827i:?4lf:?a27<dm27i:?4ld:?a27<dk27i:?4lb:?a27<di27i:?4l9:?a27<d027i:?4l7:?a27<d=27i:?4l4:?a27<d;27i:?4l2:?a27<d927i:?4l0:?a27<en27i:?4me:?a27<el27i:?4mc:?a27<cj27i:?4ka:?a27<c127i:?4k8:?a27<c?27i:?4k6:?a27<c=27i:?4k1:?a27<d>27i:?4mb:?a27<68816n;<5fg9>f34=nl16n;<5fe9>f34=99<01o8=:027?8d1:3;;?63m638247=:j?81>k>4=c41>7cb34h=>7<jd:?a27<5mj16n;j56c9>f3b=>j16n;j52e689g0c2;n>70l9d;6a?8d1l3?i70l9d;`0?8d1l3h:70l9d;ce?8d1l3kn70l9d;cg?8d1l3kh70l9d;ca?8d1l3kj70l9d;c:?8d1l3k370l9d;c4?8d1l3k=70l9d;c7?8d1l3k870l9d;c1?8d1l3k:70l9d;c3?8d1l33m70l9d;;f?8d1l33o70l9d;;`?8d1l33i70l9d;`b?8d1l3h270l9d;`;?8d1l3h<70l9d;`5?8d1l3h>70l9d;`7?8d1l3h;70l9d;c6?8d1l3n?70l9d;f1?8d1l3n;70l9d;ae?8d1l3in70l9d;ag?8d1l3ih70l9d;aa?8d1l3ij70l9d;a:?8d1l3i370l9d;a4?8d1l3i>70l9d;a7?8d1l3i870l9d;a1?8d1l3i:70l9d;a3?8d1l3hm70l9d;`f?8d1l3ho70l9d;``?8d1l3ni70l9d;fb?8d1l3n270l9d;f;?8d1l3n<70l9d;f5?8d1l3n>70l9d;f2?8d1l3i=70l9d;`a?8d1l3;;=63m6e8eb>;e>m0mi63m6e8e`>;e>m0:<;52b7f9552<5k<o6<><;<`5`?77:27i:i4=f19>f3b=:lo01o8k:3gg?8d1l38no63m7685f>;e?>0=o63m7681`1=:j>=1>i;4=c54>1d<5k=<68l4=c54>g5<5k=<6o?4=c54>d`<5k=<6lk4=c54>db<5k=<6lm4=c54>dd<5k=<6lo4=c54>d?<5k=<6l64=c54>d1<5k=<6l84=c54>d2<5k=<6l=4=c54>d4<5k=<6l?4=c54>d6<5k=<64h4=c54><c<5k=<64j4=c54><e<5k=<64l4=c54>gg<5k=<6o74=c54>g><5k=<6o94=c54>g0<5k=<6o;4=c54>g2<5k=<6o>4=c54>d3<5k=<6i:4=c54>a4<5k=<6i>4=c54>f`<5k=<6nk4=c54>fb<5k=<6nm4=c54>fd<5k=<6no4=c54>f?<5k=<6n64=c54>f1<5k=<6n;4=c54>f2<5k=<6n=4=c54>f4<5k=<6n?4=c54>f6<5k=<6oh4=c54>gc<5k=<6oj4=c54>ge<5k=<6il4=c54>ag<5k=<6i74=c54>a><5k=<6i94=c54>a0<5k=<6i;4=c54>a7<5k=<6n84=c54>gd<5k=<6<>>;<`43?`a34h<;7hj;<`43?`c34h<;7??6:?a32<68=16n:9511189g1028:970l87;0e4>;e?>09ih52b6596`b<5k=<6?kl;<`4<?0e34h<478l;<`4<?4c<27i;54=d49>f2>=<k16n:655c9>f2>=j:16n:65b09>f2>=io16n:65ad9>f2>=im16n:65ab9>f2>=ik16n:65a`9>f2>=i016n:65a99>f2>=i>16n:65a79>f2>=i=16n:65a29>f2>=i;16n:65a09>f2>=i916n:659g9>f2>=1l16n:659e9>f2>=1j16n:659c9>f2>=jh16n:65b89>f2>=j116n:65b69>f2>=j?16n:65b49>f2>=j=16n:65b19>f2>=i<16n:65d59>f2>=l;16n:65d19>f2>=ko16n:65cd9>f2>=km16n:65cb9>f2>=kk16n:65c`9>f2>=k016n:65c99>f2>=k>16n:65c49>f2>=k=16n:65c29>f2>=k;16n:65c09>f2>=k916n:65bg9>f2>=jl16n:65be9>f2>=jj16n:65dc9>f2>=lh16n:65d89>f2>=l116n:65d69>f2>=l?16n:65d49>f2>=l816n:65c79>f2>=jk16n:6511389g1?2ol01o97:gg89g1?2on01o97:025?8d003;;863m798246=:j>21==<4=c5;>7`734h<47<je:?a3=<5mm16n:652da89g1>2?h01o96:7a89g1>2;n?70l89;0g1>;e?00?n63m7886f>;e?00i?63m788a5>;e?00jj63m788ba>;e?00jh63m788bg>;e?00jn63m788be>;e?00j563m788b<>;e?00j;63m788b2>;e?00j863m788b7>;e?00j>63m788b5>;e?00j<63m788:b>;e?002i63m788:`>;e?002o63m788:f>;e?00im63m788a=>;e?00i463m788a3>;e?00i:63m788a1>;e?00i863m788a4>;e?00j963m788g0>;e?00o>63m788g4>;e?00hj63m788`a>;e?00hh63m788`g>;e?00hn63m788`e>;e?00h563m788`<>;e?00h;63m788`1>;e?00h863m788`7>;e?00h>63m788`5>;e?00h<63m788ab>;e?00ii63m788a`>;e?00io63m788gf>;e?00om63m788g=>;e?00o463m788g3>;e?00o:63m788g1>;e?00o=63m788`2>;e?00in63m788244=:j>31jk52b6;9b`=:j>31ji52b6;9550<5k=26<>;;<`4=?77;27i;44>039>f2?=:o:01o96:3gf?8d0138nh63m7881af=:j>k1:o52b6c92f=:j>k1>i:4=c5b>7b234h<m7:m;<`4e?2c34h<m7:l;<`4e?2234h<m7;m;<`4e?3c34h<m7;l;<`4e?3234h<m7l<;<`4e?d634h<m7oi;<`4e?gb34h<m7ok;<`4e?gd34h<m7om;<`4e?gf34h<m7o6;<`4e?g?34h<m7o8;<`4e?g134h<m7o;;<`4e?g434h<m7o=;<`4e?g634h<m7o?;<`4e??a34h<m77j;<`4e??c34h<m77l;<`4e??e34h<m7ln;<`4e?d>34h<m7j;;<`4e?b534h<m7j?;<`4e?ea34h<m7mj;<`4e?ec34h<m7ml;<`4e?ee34h<m7mn;<`4e?e>34h<m7m7;<`4e?e034h<m7m:;<`4e?e334h<m7m<;<`4e?e534h<m7m>;<`4e?e734h<m7li;<`4e?db34h<m7lk;<`4e?dd34h<m7jm;<`4e?bf34h<m7j6;<`4e?b?34h<m7j8;<`4e?b134h<m7j:;<`4e?b634h<m7m9;<`4e?de34h<m7??1:?a3d<an27i;l4ie:?a3d<68?16n:o511689g1f28:870l8a;336>;e?h09j=52b6c96`c<5k=j6?kk;<`4e?4bk27i;o49b:?a3g<1k27i;o4=d59>f2d=:m?01o9m:5`89g1e2=n01o9m:5a89g1e2=?01o9m:4`89g1e2<n01o9m:4a89g1e2<?01o9m:c189g1e2k;01o9m:`d89g1e2ho01o9m:`f89g1e2hi01o9m:``89g1e2hk01o9m:`;89g1e2h201o9m:`589g1e2h<01o9m:`689g1e2h901o9m:`089g1e2h;01o9m:`289g1e20l01o9m:8g89g1e20n01o9m:8a89g1e20h01o9m:cc89g1e2k301o9m:e689g1e2m801o9m:e289g1e2jl01o9m:bg89g1e2jn01o9m:ba89g1e2jh01o9m:bc89g1e2j301o9m:b:89g1e2j=01o9m:b789g1e2j>01o9m:b189g1e2j801o9m:b389g1e2j:01o9m:cd89g1e2ko01o9m:cf89g1e2ki01o9m:e`89g1e2mk01o9m:e;89g1e2m201o9m:e589g1e2m<01o9m:e789g1e2m;01o9m:b489g1e2kh01o9m:022?8d0j3lm70l8b;df?8d0j3;;:63m7c8241=:j>h1===4=c5a>46534h<n7<i0:?a3g<5ml16n:l52df89g1e2;oh70l8c;4a?8d0k3<h70l8c;0g0>;e?j09h852b6a90g=:j>i18i52b6a90f=:j>i18852b6a91g=:j>i19i52b6a91f=:j>i19852b6a9f6=:j>i1n<52b6a9ec=:j>i1mh52b6a9ea=:j>i1mn52b6a9eg=:j>i1ml52b6a9e<=:j>i1m552b6a9e2=:j>i1m;52b6a9e1=:j>i1m>52b6a9e7=:j>i1m<52b6a9e5=:j>i15k52b6a9=`=:j>i15i52b6a9=f=:j>i15o52b6a9fd=:j>i1n452b6a9`1=:j>i1h?52b6a9`5=:j>i1ok52b6a9g`=:j>i1oi52b6a9gf=:j>i1oo52b6a9gd=:j>i1o452b6a9g==:j>i1o:52b6a9g0=:j>i1o952b6a9g6=:j>i1o?52b6a9g4=:j>i1o=52b6a9fc=:j>i1nh52b6a9fa=:j>i1nn52b6a9`g=:j>i1hl52b6a9`<=:j>i1h552b6a9`2=:j>i1h;52b6a9`0=:j>i1h<52b6a9g3=:j>i1no52b6a9557<5k=h6kh4=c5`>cc<5k=h6<>9;<`4g?77<27i;n4>029>f2e=99801o9l:3d3?8d0k38ni63m7b81aa=:j>i1>hm4=c5g>3d<5k=o6;m4=c5g>7b334h<h7<k5:?a3a<3j27i;i4;d:?a3a<3k27i;i4;5:?a3a<2j27i;i4:d:?a3a<2k27i;i4:5:?a3a<e;27i;i4m1:?a3a<fn27i;i4ne:?a3a<fl27i;i4nc:?a3a<fj27i;i4na:?a3a<f127i;i4n8:?a3a<f?27i;i4n6:?a3a<f<27i;i4n3:?a3a<f:27i;i4n1:?a3a<f827i;i46f:?a3a<>m27i;i46d:?a3a<>k27i;i46b:?a3a<ei27i;i4m9:?a3a<c<27i;i4k2:?a3a<c827i;i4lf:?a3a<dm27i;i4ld:?a3a<dk27i;i4lb:?a3a<di27i;i4l9:?a3a<d027i;i4l7:?a3a<d=27i;i4l4:?a3a<d;27i;i4l2:?a3a<d927i;i4l0:?a3a<en27i;i4me:?a3a<el27i;i4mc:?a3a<cj27i;i4ka:?a3a<c127i;i4k8:?a3a<c?27i;i4k6:?a3a<c=27i;i4k1:?a3a<d>27i;i4mb:?a3a<68816n:j5fg9>f2b=nl16n:j511489g1c28:?70l8d;337>;e?m0:<?52b6f96c6<5k=o6?kj;<`4`?4bl27i;i4=eb9>f2c=>k16n:k56b9>f2c=:m>01o9j:3f6?8d0m3>i70l8e;6g?8d0m3>h70l8e;66?8d0m3?i70l8e;7g?8d0m3?h70l8e;76?8d0m3h870l8e;`2?8d0m3km70l8e;cf?8d0m3ko70l8e;c`?8d0m3ki70l8e;cb?8d0m3k270l8e;c;?8d0m3k<70l8e;c5?8d0m3k?70l8e;c0?8d0m3k970l8e;c2?8d0m3k;70l8e;;e?8d0m33n70l8e;;g?8d0m33h70l8e;;a?8d0m3hj70l8e;`:?8d0m3n?70l8e;f1?8d0m3n;70l8e;ae?8d0m3in70l8e;ag?8d0m3ih70l8e;aa?8d0m3ij70l8e;a:?8d0m3i370l8e;a4?8d0m3i>70l8e;a7?8d0m3i870l8e;a1?8d0m3i:70l8e;a3?8d0m3hm70l8e;`f?8d0m3ho70l8e;``?8d0m3ni70l8e;fb?8d0m3n270l8e;f;?8d0m3n<70l8e;f5?8d0m3n>70l8e;f2?8d0m3i=70l8e;`a?8d0m3;;=63m7d8eb>;e?l0mi63m7d8243=:j>o1==:4=c5f>46434h<i7??2:?a3`<5n916n:k52dg89g1b2;oo70l8e;0fg>;e>:0=n63m6285g>;e>:09h952b7196a3<5k<869l4=c40>1b<5k<869m4=c40>13<5k<868l4=c40>0b<5k<868m4=c40>03<5k<86o=4=c40>g7<5k<86lh4=c40>dc<5k<86lj4=c40>de<5k<86ll4=c40>dg<5k<86l74=c40>d><5k<86l94=c40>d0<5k<86l:4=c40>d5<5k<86l<4=c40>d7<5k<86l>4=c40><`<5k<864k4=c40><b<5k<864m4=c40><d<5k<86oo4=c40>g?<5k<86i:4=c40>a4<5k<86i>4=c40>f`<5k<86nk4=c40>fb<5k<86nm4=c40>fd<5k<86no4=c40>f?<5k<86n64=c40>f1<5k<86n;4=c40>f2<5k<86n=4=c40>f4<5k<86n?4=c40>f6<5k<86oh4=c40>gc<5k<86oj4=c40>ge<5k<86il4=c40>ag<5k<86i74=c40>a><5k<86i94=c40>a0<5k<86i;4=c40>a7<5k<86n84=c40>gd<5k<86<>>;<`57?`a34h=?7hj;<`57?77>27i:>4>059>f35=99901o8<:021?8d1;38m<63m6281a`=:j?91>hj4=c40>7cd34h=878m;<`50?0d34h=87<k4:?a21<5l<16n;:54c9>f32=<m16n;:54b9>f32=<<16n;:55c9>f32==m16n;:55b9>f32==<16n;:5b29>f32=j816n;:5ag9>f32=il16n;:5ae9>f32=ij16n;:5ac9>f32=ih16n;:5a89>f32=i116n;:5a69>f32=i?16n;:5a59>f32=i:16n;:5a39>f32=i816n;:5a19>f32=1o16n;:59d9>f32=1m16n;:59b9>f32=1k16n;:5b`9>f32=j016n;:5d59>f32=l;16n;:5d19>f32=ko16n;:5cd9>f32=km16n;:5cb9>f32=kk16n;:5c`9>f32=k016n;:5c99>f32=k>16n;:5c49>f32=k=16n;:5c29>f32=k;16n;:5c09>f32=k916n;:5bg9>f32=jl16n;:5be9>f32=jj16n;:5dc9>f32=lh16n;:5d89>f32=l116n;:5d69>f32=l?16n;:5d49>f32=l816n;:5c79>f32=jk16n;:511389g032ol01o8;:gg89g0328:=70l94;330>;e>=0:<>52b769554<5k<?6?h?;<`50?4bm27i:94=ee9>f32=:li01o8::7`89g022?i01o8::3f7?8d1=38o963m6487f>;e><0?h63m6487g>;e><0?963m6486f>;e><0>h63m6486g>;e><0>963m648a7>;e><0i=63m648bb>;e><0ji63m648b`>;e><0jo63m648bf>;e><0jm63m648b=>;e><0j463m648b3>;e><0j:63m648b0>;e><0j?63m648b6>;e><0j=63m648b4>;e><02j63m648:a>;e><02h63m648:g>;e><02n63m648ae>;e><0i563m648g0>;e><0o>63m648g4>;e><0hj63m648`a>;e><0hh63m648`g>;e><0hn63m648`e>;e><0h563m648`<>;e><0h;63m648`1>;e><0h863m648`7>;e><0h>63m648`5>;e><0h<63m648ab>;e><0ii63m648a`>;e><0io63m648gf>;e><0om63m648g=>;e><0o463m648g3>;e><0o:63m648g1>;e><0o=63m648`2>;e><0in63m648244=:j??1jk52b779b`=:j??1==84=c46>46334h=97??3:?a20<68;16n;;52g289g022;on70l95;0f`>;e><09in52b7492g=:j?<1:n52b7496a2<5k<=6?j:;<`52?2e34h=:7:k;<`52?2d34h=:7::;<`52?3e34h=:7;k;<`52?3d34h=:7;:;<`52?d434h=:7l>;<`52?ga34h=:7oj;<`52?gc34h=:7ol;<`52?ge34h=:7on;<`52?g>34h=:7o7;<`52?g034h=:7o9;<`52?g334h=:7o<;<`52?g534h=:7o>;<`52?g734h=:77i;<`52??b34h=:77k;<`52??d34h=:77m;<`52?df34h=:7l6;<`52?b334h=:7j=;<`52?b734h=:7mi;<`52?eb34h=:7mk;<`52?ed34h=:7mm;<`52?ef34h=:7m6;<`52?e?34h=:7m8;<`52?e234h=:7m;;<`52?e434h=:7m=;<`52?e634h=:7m?;<`52?da34h=:7lj;<`52?dc34h=:7ll;<`52?be34h=:7jn;<`52?b>34h=:7j7;<`52?b034h=:7j9;<`52?b234h=:7j>;<`52?e134h=:7lm;<`52?77927i:;4if:?a23<am27i:;4>079>f30=99>01o89:020?8d1>3;;>63m6781b5=:j?<1>hk4=c45>7cc34h=:7<jc:?a22<1j27i::49c:?a22<5l=16n;952e789g002=h01o88:5f89g002=i01o88:5789g002<h01o88:4f89g002<i01o88:4789g002k901o88:c389g002hl01o88:`g89g002hn01o88:`a89g002hh01o88:`c89g002h301o88:`:89g002h=01o88:`489g002h>01o88:`189g002h801o88:`389g002h:01o88:8d89g0020o01o88:8f89g0020i01o88:8`89g002kk01o88:c;89g002m>01o88:e089g002m:01o88:bd89g002jo01o88:bf89g002ji01o88:b`89g002jk01o88:b;89g002j201o88:b589g002j?01o88:b689g002j901o88:b089g002j;01o88:b289g002kl01o88:cg89g002kn01o88:ca89g002mh01o88:ec89g002m301o88:e:89g002m=01o88:e489g002m?01o88:e389g002j<01o88:c`89g0028::70l97;de?8d1?3ln70l97;332>;e>>0:<952b759555<5k<<6<>=;<`53?4a827i::4=ed9>f31=:ln01o88:3g`?8d103<i70l98;4`?8d1038o863m6981`0=:j?218o52b7:90a=:j?218n52b7:900=:j?219o52b7:91a=:j?219n52b7:910=:j?21n>52b7:9f4=:j?21mk52b7:9e`=:j?21mi52b7:9ef=:j?21mo52b7:9ed=:j?21m452b7:9e==:j?21m:52b7:9e3=:j?21m952b7:9e6=:j?21m?52b7:9e4=:j?21m=52b7:9=c=:j?215h52b7:9=a=:j?215n52b7:9=g=:j?21nl52b7:9f<=:j?21h952b7:9`7=:j?21h=52b7:9gc=:j?21oh52b7:9ga=:j?21on52b7:9gg=:j?21ol52b7:9g<=:j?21o552b7:9g2=:j?21o852b7:9g1=:j?21o>52b7:9g7=:j?21o<52b7:9g5=:j?21nk52b7:9f`=:j?21ni52b7:9ff=:j?21ho52b7:9`d=:j?21h452b7:9`==:j?21h:52b7:9`3=:j?21h852b7:9`4=:j?21o;52b7:9fg=:j?21==?4=c4;>c`<5k<36kk4=c4;>46134h=47??4:?a2=<68:16n;6511089g0?2;l;70l98;0fa>;e>109ii52b7:96`e<5k<26;l4=c4:>3e<5k<26?j;;<`5=?4c=27i:44;b:?a2<<3l27i:44;c:?a2<<3=27i:44:b:?a2<<2l27i:44:c:?a2<<2=27i:44m3:?a2<<e927i:44nf:?a2<<fm27i:44nd:?a2<<fk27i:44nb:?a2<<fi27i:44n9:?a2<<f027i:44n7:?a2<<f>27i:44n4:?a2<<f;27i:44n2:?a2<<f927i:44n0:?a2<<>n27i:446e:?a2<<>l27i:446c:?a2<<>j27i:44ma:?a2<<e127i:44k4:?a2<<c:27i:44k0:?a2<<dn27i:44le:?a2<<dl27i:44lc:?a2<<dj27i:44la:?a2<<d127i:44l8:?a2<<d?27i:44l5:?a2<<d<27i:44l3:?a2<<d:27i:44l1:?a2<<d827i:44mf:?a2<<em27i:44md:?a2<<ek27i:44kb:?a2<<ci27i:44k9:?a2<<c027i:44k7:?a2<<c>27i:44k5:?a2<<c927i:44l6:?a2<<ej27i:44>009>f3?=no16n;75fd9>f3?=99<01o86:027?8d113;;?63m688247=:j?31>k>4=c4:>7cb34h=57<jd:?a2<<5mj16n;o56c9>f3g=>j16n;o52e689g0f2;n>70l9a;6a?8d1i3>o70l9a;6`?8d1i3>>70l9a;7a?8d1i3?o70l9a;7`?8d1i3?>70l9a;`0?8d1i3h:70l9a;ce?8d1i3kn70l9a;cg?8d1i3kh70l9a;ca?8d1i3kj70l9a;c:?8d1i3k370l9a;c4?8d1i3k=70l9a;c7?8d1i3k870l9a;c1?8d1i3k:70l9a;c3?8d1i33m70l9a;;f?8d1i33o70l9a;;`?8d1i33i70l9a;`b?8d1i3h270l9a;f7?8d1i3n970l9a;f3?8d1i3im70l9a;af?8d1i3io70l9a;a`?8d1i3ii70l9a;ab?8d1i3i270l9a;a;?8d1i3i<70l9a;a6?8d1i3i?70l9a;a0?8d1i3i970l9a;a2?8d1i3i;70l9a;`e?8d1i3hn70l9a;`g?8d1i3hh70l9a;fa?8d1i3nj70l9a;f:?8d1i3n370l9a;f4?8d1i3n=70l9a;f6?8d1i3n:70l9a;a5?8d1i3hi70l9a;335>;e>h0mj63m6`8ea>;e>h0:<;52b7c9552<5k<j6<><;<`5e?77:27i:l4=f19>f3g=:lo01o8n:3gg?8d1i38no63m6c85f>;e>k0=o63m6c81`1=:j?h1>i;4=c4a>1d<5k<i69j4=c4a>1e<5k<i69;4=c4a>0d<5k<i68j4=c4a>0e<5k<i68;4=c4a>g5<5k<i6o?4=c4a>d`<5k<i6lk4=c4a>db<5k<i6lm4=c4a>dd<5k<i6lo4=c4a>d?<5k<i6l64=c4a>d1<5k<i6l84=c4a>d2<5k<i6l=4=c4a>d4<5k<i6l?4=c4a>d6<5k<i64h4=c4a><c<5k<i64j4=c4a><e<5k<i64l4=c4a>gg<5k<i6o74=c4a>a2<5k<i6i<4=c4a>a6<5k<i6nh4=c4a>fc<5k<i6nj4=c4a>fe<5k<i6nl4=c4a>fg<5k<i6n74=c4a>f><5k<i6n94=c4a>f3<5k<i6n:4=c4a>f5<5k<i6n<4=c4a>f7<5k<i6n>4=c4a>g`<5k<i6ok4=c4a>gb<5k<i6om4=c4a>ad<5k<i6io4=c4a>a?<5k<i6i64=c4a>a1<5k<i6i84=c4a>a3<5k<i6i?4=c4a>f0<5k<i6ol4=c4a>46634h=n7hi;<`5f?`b34h=n7??6:?a2g<68=16n;l511189g0e28:970l9b;0e4>;e>k09ih52b7`96`b<5k<i6?kl;<`5g?0e34h=o78l;<`5g?4c<27i:n4=d49>f3e=<k16n;m54e9>f3e=<j16n;m5449>f3e==k16n;m55e9>f3e==j16n;m5549>f3e=j:16n;m5b09>f3e=io16n;m5ad9>f3e=im16n;m5ab9>f3e=ik16n;m5a`9>f3e=i016n;m5a99>f3e=i>16n;m5a79>f3e=i=16n;m5a29>f3e=i;16n;m5a09>f3e=i916n;m59g9>f3e=1l16n;m59e9>f3e=1j16n;m59c9>f3e=jh16n;m5b89>f3e=l=16n;m5d39>f3e=l916n;m5cg9>f3e=kl16n;m5ce9>f3e=kj16n;m5cc9>f3e=kh16n;m5c89>f3e=k116n;m5c69>f3e=k<16n;m5c59>f3e=k:16n;m5c39>f3e=k816n;m5c19>f3e=jo16n;m5bd9>f3e=jm16n;m5bb9>f3e=lk16n;m5d`9>f3e=l016n;m5d99>f3e=l>16n;m5d79>f3e=l<16n;m5d09>f3e=k?16n;m5bc9>f3e=99;01o8l:gd89g0d2oo01o8l:025?8d1k3;;863m6b8246=:j?i1==<4=c4`>7`734h=o7<je:?a2f<5mm16n;m52da89g0b2?h01o8j:7a89g0b2;n?70l9e;0g1>;e>l0?n63m6d87`>;e>l0?o63m6d871>;e>l0>n63m6d86`>;e>l0>o63m6d861>;e>l0i?63m6d8a5>;e>l0jj63m6d8ba>;e>l0jh63m6d8bg>;e>l0jn63m6d8be>;e>l0j563m6d8b<>;e>l0j;63m6d8b2>;e>l0j863m6d8b7>;e>l0j>63m6d8b5>;e>l0j<63m6d8:b>;e>l02i63m6d8:`>;e>l02o63m6d8:f>;e>l0im63m6d8a=>;e>l0o863m6d8g6>;e>l0o<63m6d8`b>;e>l0hi63m6d8``>;e>l0ho63m6d8`f>;e>l0hm63m6d8`=>;e>l0h463m6d8`3>;e>l0h963m6d8`0>;e>l0h?63m6d8`6>;e>l0h=63m6d8`4>;e>l0ij63m6d8aa>;e>l0ih63m6d8ag>;e>l0on63m6d8ge>;e>l0o563m6d8g<>;e>l0o;63m6d8g2>;e>l0o963m6d8g5>;e>l0h:63m6d8af>;e>l0:<<52b7g9bc=:j?o1jh52b7g9550<5k<n6<>;;<`5a?77;27i:h4>039>f3c=:o:01o8j:3gf?8d1m38nh63m6d81af=:j?l1:o52b7d92f=:j?l1>i:4=c4e>7b234h=j7:m;<`5b?2c34h=j7:l;<`5b?2234h=j7;m;<`5b?3c34h=j7;l;<`5b?3234h=j7l<;<`5b?d634h=j7oi;<`5b?gb34h=j7ok;<`5b?gd34h=j7om;<`5b?gf34h=j7o6;<`5b?g?34h=j7o8;<`5b?g134h=j7o;;<`5b?g434h=j7o=;<`5b?g634h=j7o?;<`5b??a34h=j77j;<`5b??c34h=j77l;<`5b??e34h=j7ln;<`5b?d>34h=j7j;;<`5b?b534h=j7j?;<`5b?ea34h=j7mj;<`5b?ec34h=j7ml;<`5b?ee34h=j7mn;<`5b?e>34h=j7m7;<`5b?e034h=j7m:;<`5b?e334h=j7m<;<`5b?e534h=j7m>;<`5b?e734h=j7li;<`5b?db34h=j7lk;<`5b?dd34h=j7jm;<`5b?bf34h=j7j6;<`5b?b?34h=j7j8;<`5b?b134h=j7j:;<`5b?b634h=j7m9;<`5b?de34h=j7??1:?a2c<an27i:k4ie:?a2c<68?16n;h511689g0a28:870l9f;336>;e>o09j=52b7d96`c<5k<m6?kk;<`5b?4bk27i;=49b:?a35<1k27i;=4=d59>f26=:m?01o9?:5`89g172=n01o9?:5a89g172=?01o9?:4`89g172<n01o9?:4a89g172<?01o9?:c189g172k;01o9?:`d89g172ho01o9?:`f89g172hi01o9?:``89g172hk01o9?:`;89g172h201o9?:`589g172h<01o9?:`689g172h901o9?:`089g172h;01o9?:`289g1720l01o9?:8g89g1720n01o9?:8a89g1720h01o9?:cc89g172k301o9?:e689g172m801o9?:e289g172jl01o9?:bg89g172jn01o9?:ba89g172jh01o9?:bc89g172j301o9?:b:89g172j=01o9?:b789g172j>01o9?:b189g172j801o9?:b389g172j:01o9?:cd89g172ko01o9?:cf89g172ki01o9?:e`89g172mk01o9?:e;89g172m201o9?:e589g172m<01o9?:e789g172m;01o9?:b489g172kh01o9?:022?8d083lm70l80;df?8d083;;:63m718241=:j>:1===4=c53>46534h<<7<i0:?a35<5ml16n:>52df89g172;oh70l81;4a?8d093<h70l81;0g0>;e?809h852b6390g=:j>;18i52b6390f=:j>;18852b6391g=:j>;19i52b6391f=:j>;19852b639f6=:j>;1n<52b639ec=:j>;1mh52b639ea=:j>;1mn52b639eg=:j>;1ml52b639e<=:j>;1m552b639e2=:j>;1m;52b639e1=:j>;1m>52b639e7=:j>;1m<52b639e5=:j>;15k52b639=`=:j>;15i52b639=f=:j>;15o52b639fd=:j>;1n452b639`1=:j>;1h?52b639`5=:j>;1ok52b639g`=:j>;1oi52b639gf=:j>;1oo52b639gd=:j>;1o452b639g==:j>;1o:52b639g0=:j>;1o952b639g6=:j>;1o?52b639g4=:j>;1o=52b639fc=:j>;1nh52b639fa=:j>;1nn52b639`g=:j>;1hl52b639`<=:j>;1h552b639`2=:j>;1h;52b639`0=:j>;1h<52b639g3=:j>;1no52b639557<5k=:6kh4=c52>cc<5k=:6<>9;<`45?77<27i;<4>029>f27=99801o9>:3d3?8d0938ni63m7081aa=:j>;1>hm4=c51>3d<5k=96;m4=c51>7b334h<>7<k5:?a37<3j27i;?4;d:?a37<3k27i;?4;5:?a37<2j27i;?4:d:?a37<2k27i;?4:5:?a37<e;27i;?4m1:?a37<fn27i;?4ne:?a37<fl27i;?4nc:?a37<fj27i;?4na:?a37<f127i;?4n8:?a37<f?27i;?4n6:?a37<f<27i;?4n3:?a37<f:27i;?4n1:?a37<f827i;?46f:?a37<>m27i;?46d:?a37<>k27i;?46b:?a37<ei27i;?4m9:?a37<c<27i;?4k2:?a37<c827i;?4lf:?a37<dm27i;?4ld:?a37<dk27i;?4lb:?a37<di27i;?4l9:?a37<d027i;?4l7:?a37<d=27i;?4l4:?a37<d;27i;?4l2:?a37<d927i;?4l0:?a37<en27i;?4me:?a37<el27i;?4mc:?a37<cj27i;?4ka:?a37<c127i;?4k8:?a37<c?27i;?4k6:?a37<c=27i;?4k1:?a37<d>27i;?4mb:?a37<68816n:<5fg9>f24=nl16n:<511489g1528:?70l82;337>;e?;0:<?52b6096c6<5k=96?kj;<`46?4bl27i;?4=eb9>f25=>k16n:=56b9>f25=:m>01o9<:3f6?8d0;3>i70l83;6g?8d0;3>h70l83;66?8d0;3?i70l83;7g?8d0;3?h70l83;76?8d0;3h870l83;`2?8d0;3km70l83;cf?8d0;3ko70l83;c`?8d0;3ki70l83;cb?8d0;3k270l83;c;?8d0;3k<70l83;c5?8d0;3k?70l83;c0?8d0;3k970l83;c2?8d0;3k;70l83;;e?8d0;33n70l83;;g?8d0;33h70l83;;a?8d0;3hj70l83;`:?8d0;3n?70l83;f1?8d0;3n;70l83;ae?8d0;3in70l83;ag?8d0;3ih70l83;aa?8d0;3ij70l83;a:?8d0;3i370l83;a4?8d0;3i>70l83;a7?8d0;3i870l83;a1?8d0;3i:70l83;a3?8d0;3hm70l83;`f?8d0;3ho70l83;``?8d0;3ni70l83;fb?8d0;3n270l83;f;?8d0;3n<70l83;f5?8d0;3n>70l83;f2?8d0;3i=70l83;`a?8d0;3;;=63m728eb>;e?:0mi63m728243=:j>91==:4=c50>46434h<?7??2:?a36<5n916n:=52dg89g142;oo70l83;0fg>;e?=0=n63m7585g>;e?=09h952b6696a3<5k=?69l4=c57>1b<5k=?69m4=c57>13<5k=?68l4=c57>0b<5k=?68m4=c57>03<5k=?6o=4=c57>g7<5k=?6lh4=c57>dc<5k=?6lj4=c57>de<5k=?6ll4=c57>dg<5k=?6l74=c57>d><5k=?6l94=c57>d0<5k=?6l:4=c57>d5<5k=?6l<4=c57>d7<5k=?6l>4=c57><`<5k=?64k4=c57><b<5k=?64m4=c57><d<5k=?6oo4=c57>g?<5k=?6i:4=c57>a4<5k=?6i>4=c57>f`<5k=?6nk4=c57>fb<5k=?6nm4=c57>fd<5k=?6no4=c57>f?<5k=?6n64=c57>f1<5k=?6n;4=c57>f2<5k=?6n=4=c57>f4<5k=?6n?4=c57>f6<5k=?6oh4=c57>gc<5k=?6oj4=c57>ge<5k=?6il4=c57>ag<5k=?6i74=c57>a><5k=?6i94=c57>a0<5k=?6i;4=c57>a7<5k=?6n84=c57>gd<5k=?6<>>;<`40?`a34h<87hj;<`40?77>27i;94>059>f22=99901o9;:021?8d0<38m<63m7581a`=:j>>1>hj4=c57>7cd34h<978m;<`41?0d34h<97<k4:?a30<5l<16n:;54c9>f23=<m16n:;54b9>f23=<<16n:;55c9>f23==m16n:;55b9>f23==<16n:;5b29>f23=j816n:;5ag9>f23=il16n:;5ae9>f23=ij16n:;5ac9>f23=ih16n:;5a89>f23=i116n:;5a69>f23=i?16n:;5a59>f23=i:16n:;5a39>f23=i816n:;5a19>f23=1o16n:;59d9>f23=1m16n:;59b9>f23=1k16n:;5b`9>f23=j016n:;5d59>f23=l;16n:;5d19>f23=ko16n:;5cd9>f23=km16n:;5cb9>f23=kk16n:;5c`9>f23=k016n:;5c99>f23=k>16n:;5c49>f23=k=16n:;5c29>f23=k;16n:;5c09>f23=k916n:;5bg9>f23=jl16n:;5be9>f23=jj16n:;5dc9>f23=lh16n:;5d89>f23=l116n:;5d69>f23=l?16n:;5d49>f23=l816n:;5c79>f23=jk16n:;511389g122ol01o9::gg89g1228:=70l85;330>;e?<0:<>52b679554<5k=>6?h?;<`41?4bm27i;84=ee9>f23=:li01o99:7`89g112?i01o99:3f7?8d0>38o963m7787f>;e??0?h63m7787g>;e??0?963m7786f>;e??0>h63m7786g>;e??0>963m778a7>;e??0i=63m778bb>;e??0ji63m778b`>;e??0jo63m778bf>;e??0jm63m778b=>;e??0j463m778b3>;e??0j:63m778b0>;e??0j?63m778b6>;e??0j=63m778b4>;e??02j63m778:a>;e??02h63m778:g>;e??02n63m778ae>;e??0i563m778g0>;e??0o>63m778g4>;e??0hj63m778`a>;e??0hh63m778`g>;e??0hn63m778`e>;e??0h563m778`<>;e??0h;63m778`1>;e??0h863m778`7>;e??0h>63m778`5>;e??0h<63m778ab>;e??0ii63m778a`>;e??0io63m778gf>;e??0om63m778g=>;e??0o463m778g3>;e??0o:63m778g1>;e??0o=63m778`2>;e??0in63m778244=:j><1jk52b649b`=:j><1==84=c55>46334h<:7??3:?a33<68;16n:852g289g112;on70l86;0f`>;e??09in5rs224>5<3sW9;;637d282<==:0>n1=564=92;>4>?3ty=97>52z\51>;0k009ni5rs3a2>5<>>rT9o<528d692d=:0l<1:l524d:92d=:<l31:l524dg92d=:<ll1:l524g292d=:<o;1:l524g092d=:<o91:l524g692d=:<o?1:l524dc92d=:<ln1:l524d`92d=:<li1:l5248492d=:<0=1:l5248a92d=:<0n1:l5248g92d=:<0l1:l524`292d=:<h;1:l524`092d=:<h91:l5248:92d=:<0h1:l5248;92d=:<0k1:l5244092d=:<<91:l5244:92d=:<<31:l5244c92d=:<<h1:l5244a92d=:<<n1:l5244g92d=:<<l1:l5244692d=:<<?1:l5244492d=:<<=1:l5285192d=:?j31:l526b792d=:>j>1:l526b192d=:>j81:l526bf92d=:>ji1:l526b`92d=:>jk1:l526b;92d=:>j21:l526b592d=:>j<1:l526b392d=:>j:1:l5273d92d=:?;o1:l5273f92d=:?;i1:l5272592d=:?:<1:l5272792d=:?:>1:l5272192d=:?:81:l5272392d=:?::1:l5273`92d=:?;k1:l5276a92d=:?>h1:l5276c92d=:?>31:l5279692d=:?191:l5279092d=:?1;1:l5279292d=:?>l1:l5276g92d=:?>n1:l5276:92d=:?>=1:l5278:92d=:?0=1:l5278492d=:?0?1:l527`292d=:?0l1:l5278g92d=:?0n1:l5278a92d=:?0h1:l5278c92d=:?031:l5278692d=:?091:l527cf92d=:?ki1:l527c`92d=:?kk1:l527b792d=:?j>1:l527b192d=:?j81:l527b392d=:?j:1:l527cd92d=:?ko1:l527c;92d=:?k21:l52a9;92d=:i121:l52a9592d=:0l?1:l52b70937=:j?n1;?52b65937=:j>21;?52b6;937=:j>k1;?52b6`937=:j>i1;?52b6f937=:j>o1;?52b71937=:j?>1;?52b77937=:j?<1;?52b75937=:j?21;?52b7;937=:j?k1;?52b7`937=:j?i1;?52b7g937=:j?l1;?52b62937=:j>;1;?52b60937=:j>91;?52b66937=:j>?1;?52b64937=z{;l<6=4n0z\1b2=:0l21:l528d592d=:>9<1:l5261592d=:>9i1:l5261f92d=:>9o1:l5261d92d=:>8:1:l5260392d=:>881:l5260192d=:>921:l5261`92d=:>931:l5261c92d=:=k>1:l525c792d=:=kk1:l525c`92d=:=ki1:l525cf92d=:=ko1:l525cd92d=:=j:1:l525b392d=:=k<1:l525c;92d=:=k=1:l525c:92d=:=>:1:l5256392d=:=><1:l5256592d=:=>21:l5256;92d=:=>k1:l5256`92d=:=>i1:l5256f92d=:=>81:l5256792d=:=>91:l5256692d=:=;i1:l5253f92d=:=:81:l5252192d=:=:>1:l5252792d=:=:<1:l5252592d=:=:21:l5252;92d=:=;o1:l5253d92d=:=::1:l5252392d=:0>o1:l5281492d=:>0>1:l5268192d=:>081:l5268392d=:>0i1:l5268`92d=:>0k1:l5268;92d=:>021:l5268592d=:>0<1:l5268792d=:>0:1:l5269d92d=:>l;1:l526d292d=:>ml1:l526eg92d=:>l31:l526d:92d=:>l=1:l526d492d=:>l?1:l526d692d=:>l91:l526d092d=:>mn1:l526ea92d=:>on1:l526ga92d=:>oh1:l526gc92d=:?9?1:l5271692d=:?991:l5271092d=:?9;1:l5271292d=:>ol1:l526gg92d=:>o31:l526g:92d=:??:1:l5274d92d=:?<o1:l5274f92d=:??21:l5277592d=:??<1:l5277792d=:??>1:l5277192d=:??81:l5277392d=:?<i1:l5274`92d=:0m31:l528e:92d=:0m=1:l528e492d=:0l;1:l528d292d=:0ml1:l528eg92d=:0mn1:l528ea92d=:0mh1:l528ec92d=:0m?1:l528e692d=:j?81;<52b7f934=:j>=1;<52b6:934=:j>31;<52b6c934=:j>h1;<52b6a934=:j>n1;<52b6g934=:j?91;<52b76934=:j??1;<52b74934=:j?=1;<52b7:934=:j?31;<52b7c934=:j?h1;<52b7a934=:j?o1;<52b7d934=:j>:1;<52b63934=:j>81;<52b61934=:j>>1;<52b67934=:j><1;<5rs25:>5<0sW9<56382g81fa=:>;?1=564=95:>4>f342>i7?7a:?;44<60k16;h8519`8yv50?3:14vP<769>37c=:kn01;?8:8;89343282370689;3;<>;?=l0:455281395=?<5>o=6<66;|q033<721qU?:84=60g>7dc34<::776;<417?7?0273;54>8`9><0b=912015>?:0:a?81b=3;3n6s|36794?>|V:=>709=c;0a`>;19<0256392382<==:0>21=564=97g>4>>342;<7?79:?4a0<6001v\7f>6=:18;\7f[5?:27<?:4=be9>276=1016:?k519:89=10282j706:c;3;<>;0no0:4o527d695=d<uz93=7>58z\0<4=:?:<1>oj4=73e><?<5?8o6<67;<:43?7?02739n4>889>3c`=91301:k;:0::?xu4090;65uQ392892522;ho708>e;;:?805k3;346377782<d=:0<h1=564=6df>4>e34=n?7?7b:\7fp72`=832pR>9i;<500?4el27==i469:?56g<601164:8519:89=3e2822709ie;3;=>;0m:0:445rs25f>5<?sW9<i6383281fa=:>8i1545263c95=><51=>6<6n;<:6e?7?027<ji4>8c9>3`4=91h0q~=8d;29<~X4?m16;><52cf8937e20301;<6:0:;?8>0=3;346375`82<<=:?on1=574=6g1>4>>3ty8;n4?:9y]72e<5>9:6?lk;<42e??>34<947?78:?;31<60h16487519:892`d282i709j1;3;f>{t;>h1<76t^25a?814838ih639188:=>;1:>0:455286695=><51?26<66;<5eg?7?127<i<4>889~w61f2903wS=8a:?46g<5jm16:<65989>270=9120159<:0:b?8>203;34638fc82<g=:?l:1=5l4}r140?6=0rT8;95273c96gb<5?;?6474=702>4>?342<?7?78:?;1=<60016;kl519;892c728227p}7f;2951}Y;120R5h4=412>7dc34==<799;<47a?7?027<=i4>899><c6=913015ki:0::?8>bm3;35637ee82<<=:0li1=564=9ga>4>f342nm7?78:?;a<<600169<:519:89g052=301o8k:5;89g102=301o97:5;89g1>2=30q~6k:1821~X40?1U4i5252296gb<5?>;6474=76g>4>?34=:i7?78:?45a<600164k>519c89=ca282j706je;3;<>;?mm0:4l528da95=g<51oi6<66;<:fe?7?i273i44>8`9>0ce=91201o8=:5:89g0c2=201o98:5:89g1?2=201o96:5:8yv>d290:9vP<849]<f=:=;l1>oj4=71e><?<5?>h6<67;<52b?7?027<=h4>889><c6=912015ki:0:;?8>bm3;3m637ee82<==:0li1=574=9ga>4>?342nm7?79:?;a<<601168ko519:89g052==01o8k:5589g102==01o97:5589g1>2==0q~6m:1811~X40=1U4o5253g96gb<5?9n6474=76a>4>?34=9<7?78:?45c<600168k6519:89g052=<01o8k:5489g102=<01o97:5489g1>2=<01o9n:5;89g1e2=301o9l:5;89g1c2=301o9j:5;89g042=301o8;:5;89g022=301o89:5;89g002=301o87:5;89g0>2=301o8n:5;89g0e2=301o8l:5;89g0b2=301o8i:5;89g172=301o9>:5;89g152=301o9<:5;89g132=301o9::5;89g112=30q~77:1811~X4181U555252;96gb<5?>26474=774>4>?34=9=7?78:?465<600169=k519:89g052<>01o8k:4689g102<>01o97:4689g1>2<>01o9n:5:89g1e2=201o9l:5:89g1c2=201o9j:5:89g042=201o8;:5:89g022=201o89:5:89g002=201o87:5:89g0>2=201o8n:5:89g0e2=201o8l:5:89g0b2=201o8i:5:89g172=201o9>:5:89g152=201o9<:5:89g132=201o9::5:89g112=20q~78:1811~X4191U5:5252:96gb<5?>36474=775>4>?34=9>7?78:?464<600169=m519:89g052<901o8k:4189g102<901o97:4189g1>2<901o9n:5589g1e2==01o9l:5589g1c2==01o9j:5589g042==01o8;:5589g022==01o89:5589g002==01o87:5589g0>2==01o8n:5589g0e2==01o8l:5589g0b2==01o8i:5589g172==01o9>:5589g152==01o9<:5589g132==01o9::5589g112==0q~79:1811~X40o1U5;5252596gb<5?><6474=776>4>?34=9?7?78:?467<600169=o519:89g052<801o8k:4089g102<801o97:4089g1>2<801o9n:5489g1e2=<01o9l:5489g1c2=<01o9j:5489g042=<01o8;:5489g022=<01o89:5489g002=<01o87:5489g0>2=<01o8n:5489g0e2=<01o8l:5489g0b2=<01o8i:5489g172=<01o9>:5489g152=<01o9<:5489g132=<01o9::5489g112=<0q~7::1811~X40l1U585252496gb<5?>=6474=777>4>?34=987?78:?466<600169=6519:89g052<;01o8k:4389g102<;01o97:4389g1>2<;01o9n:4689g1e2<>01o9l:4689g1c2<>01o9j:4689g042<>01o8;:4689g022<>01o89:4689g002<>01o87:4689g0>2<>01o8n:4689g0e2<>01o8l:4689g0b2<>01o8i:4689g172<>01o9>:4689g152<>01o9<:4689g132<>01o9::4689g112<>0q~7;:1811~X40m1U595252796gb<5?>>6474=770>4>?34=997?78:?461<600169=8519:89g052<:01o8k:4289g102<:01o97:4289g1>2<:01o9n:4189g1e2<901o9l:4189g1c2<901o9j:4189g042<901o8;:4189g022<901o89:4189g002<901o87:4189g0>2<901o8n:4189g0e2<901o8l:4189g0b2<901o8i:4189g172<901o9>:4189g152<901o9<:4189g132<901o9::4189g112<90q~7<:1811~X40j1U5>5252696gb<5?>?6474=771>4>?34=9:7?78:?460<600169=:519:89g052=l01o8k:5d89g102=l01o97:5d89g1>2=l01o9n:4089g1e2<801o9l:4089g1c2<801o9j:4089g042<801o8;:4089g022<801o89:4089g002<801o87:4089g0>2<801o8n:4089g0e2<801o8l:4089g0b2<801o8i:4089g172<801o9>:4089g152<801o9<:4089g132<801o9::4089g112<80q~7=:1811~X40k1U5?5252196gb<5?>86474=772>4>?34=9;7?78:?463<600169=<519:89g052=o01o8k:5g89g102=o01o97:5g89g1>2=o01o9n:4389g1e2<;01o9l:4389g1c2<;01o9j:4389g042<;01o8;:4389g022<;01o89:4389g002<;01o87:4389g0>2<;01o8n:4389g0e2<;01o8l:4389g0b2<;01o8i:4389g172<;01o9>:4389g152<;01o9<:4389g132<;01o9::4389g112<;0q~7>:1811~X40h1U5<5252096gb<5?>96474=773>4>?34=947?78:?462<600169=>519:89g052=n01o8k:5f89g102=n01o97:5f89g1>2=n01o9n:4289g1e2<:01o9l:4289g1c2<:01o9j:4289g042<:01o8;:4289g022<:01o89:4289g002<:01o87:4289g0>2<:01o8n:4289g0e2<:01o8l:4289g0b2<:01o8i:4289g172<:01o9>:4289g152<:01o9<:4289g132<:01o9::4289g112<:0q~7?:1811~X4001U5=5253f96gb<5?>:6474=76e>4>?34=957?78:?46=<600168kk519:89g052=i01o8k:5a89g102=i01o97:5a89g1>2=i01o9n:5d89g1e2=l01o9l:5d89g1c2=l01o9j:5d89g042=l01o8;:5d89g022=l01o89:5d89g002=l01o87:5d89g0>2=l01o8n:5d89g0e2=l01o8l:5d89g0b2=l01o8i:5d89g172=l01o9>:5d89g152=l01o9<:5d89g132=l01o9::5d89g112=l0q~6n:1810~X40:1U4l5253a96gb<5?9o6474=76b>4>?34=957?79:?64c<60>16n;<5449>f3b=<<16n:95449>f2>=<<16n:75449>f2g=<l16n:l54d9>f2e=<l16n:j54d9>f2c=<l16n;=54d9>f32=<l16n;;54d9>f30=<l16n;954d9>f3>=<l16n;754d9>f3g=<l16n;l54d9>f3e=<l16n;k54d9>f3`=<l16n:>54d9>f27=<l16n:<54d9>f25=<l16n::54d9>f23=<l16n:854d9~w64b290>wS==e:?7=g<5jm164:7519`892c1282j70:8e;3;<>{t;;i1<7;t^20`?82>i38ih6377882<<=:?l<1=564=545>4>?3ty8>o4?:4y]77d<5=326?lk;<:4<?7?j27<i84>8`9>032=9120q~==a;291~X4:h1684652cf89=1?2822709j5;3;<>;3>;0:455rs214>5<2sW98;63;a281fa=:0>=1=5l4=6g7>4>f34><47?78:\7fp760=83?pR>=9;<6b6?4el273;:4>889>3`2=91201999:0:;?xu4;<0;68uQ327891g62;ho70686;3;f>;0m:0:4l5246695=><uz9887>55z\071=:<h:1>oj4=955>4>>34=n?7?78:?737<6011v\7f>=<:186\7f[54;27?5k4=be9><23=91h01:k=:0:b?82083;346s|32094?3|V:9970:6e;0a`>;??<0:44527d095=><5=<n6<67;|q074<72<qU?>?4=5;g>7dc342<87?7b:?4a4<60h168;m519:8yv5483:19vP<319>0<e=:kn0159;:0::?81b93;3463;6`82<==z{:8m6=4:{_11b>;31>09ni5286195=d<5>o;6<6n;<65<?7?02wx??750;7xZ64>34>2:7<md:?;36<60016;h>519:8911>282<7p}70;2953}Y;:n0R5>4=574>7dc34<h9799;<411?7?127j44486:?5<7<6011648k519`89=`?2822706i7;3;=>;?n?0:44528g795=?<51l?6<6n;<:e7?7?j273j?4>8`9><c7=913019=n:0:;?8d1:3?270l9d;7:?8d0?3?270l88;7:?8d013?27p}8e;2953}Y;:h0R:k4=575>7dc34<987?79:?b<=<0>27=4>4>899>2=4=913015;j:0::?8>a03;34637f682<==:0o<1=5o4=9d6>4>?342m87?78:?;b6<600164k<519:89=`6282370:=2;3;<>;e>;0>463m6e86<>;e?>0>463m7986<>;e?00>46s|7e83>40|V:9j7S9k;<661?4el27=>>4>889>e=1=??16:5:519:893>42822706:d;3;e>;?n10:4l528g595=g<51l=6<67;<:e1?7?i273j94>889><c5=912015h=:0::?8>a93;3m63;2182<==:j?819:52b7f912=:j>=19:52b6:912=:j>319:5rs6a94?42sW9856P8c:?711<5jm16:?<519;893>2282370874;3;=>;?=m0:4o5240g95=><5k<96884=c4g>00<5k=<6884=c5;>00<5k=26884=c5b>0?<5k=i6874=c5`>0?<5k=o6874=c5f>0?<5k<86874=c47>0?<5k<>6874=c45>0?<5k<<6874=c4;>0?<5k<26874=c4b>0?<5k<i6874=c4`>0?<5k<n6874=c4e>0?<5k=;6874=c52>0?<5k=96874=c50>0?<5k=?6874=c56>0?<5k==6874}r::>5<5=rT88;5Q889>00`=:kn01;<j:0::?80?>3;346398482<<=:0<i1=5o4=517>4>?34h=>78;;<`5`?0334h<;78;;<`4<?0334h<578;;<`4e?3?34h<n7;7;<`4g?3?34h<h7;7;<`4a?3?34h=?7;7;<`50?3?34h=97;7;<`52?3?34h=;7;7;<`5<?3?34h=57;7;<`5e?3?34h=n7;7;<`5g?3?34h=i7;7;<`5b?3?34h<<7;7;<`45?3?34h<>7;7;<`47?3?34h<87;7;<`41?3?34h<:7;7;|q;<?6=:<qU?9;4^9:8913b2;ho708=d;3;=>;10>0:455269495=?<51?h6<6m;<606?7?027i:?493:?a2a<1;27i;:493:?a3=<1;27i;4493:?a3d<2?27i;o4:7:?a3f<2?27i;i4:7:?a3`<2?27i:>4:7:?a21<2?27i:84:7:?a23<2?27i::4:7:?a2=<2?27i:44:7:?a2d<2?27i:o4:7:?a2f<2?27i:h4:7:?a2c<2?27i;=4:7:?a34<2?27i;?4:7:?a36<2?27i;94:7:?a30<2?27i;;4:7:\7fp<2<72;?pR>:;;_:4?822l38ih6392b82<<=:>121=564=7:4>4>>342>n7?7a:?775<60116n;<5639>f3b=>;16n:95639>f2>=>;16n:75639>f2g==?16n:l5579>f2e==?16n:j5579>f2c==?16n;=5579>f32==?16n;;5579>f30==?16n;95579>f3>==?16n;75579>f3g==?16n;l5579>f3e==?16n;k5579>f3`==?16n:>5579>f27==?16n:<5579>f25==?16n::5579>f23==?16n:85579~w=0=838>wS=;3:\;2>;3=j09ni5263`95=?<5?226<67;<4;<?7?12739o4>8c9>07c=91201o8=:7389g0c2?;01o98:7389g1?2?;01o96:7389g1f2?>01o9m:7689g1d2?>01o9k:7689g1b2?>01o8<:7689g032?>01o8::7689g012?>01o88:7689g0?2?>01o86:7689g0f2?>01o8m:7689g0d2?>01o8j:7689g0a2?>01o9?:7689g162?>01o9=:7689g142?>01o9;:7689g122?>01o99:768yv>229099vP<439]<0=:<<h1>oj4=70b>4>>34<3m7?78:?5<<<6001648o519c8914d282370l92;43?8d1l3<;70l87;43?8d003<;70l89;43?8d0i3<870l8b;40?8d0k3<870l8d;40?8d0m3<870l93;40?8d1<3<870l95;40?8d1>3<870l97;40?8d103<870l99;40?8d1i3<870l9b;40?8d1k3<870l9e;40?8d1n3<870l80;40?8d093<870l82;40?8d0;3<870l84;40?8d0=3<870l86;40?xu?<3:1>8uQ3538Z=2<5=?j6?lk;<41=?7?127=4o4>899>2=g=913015;n:0:a?825i3;3463m6386b>;e>m0>j63m7686b>;e?10>j63m7886b>;e?h0=>63m7c856>;e?j0=>63m7e856>;e?l0=>63m62856>;e>=0=>63m64856>;e>?0=>63m66856>;e>10=>63m68856>;e>h0=>63m6c856>;e>j0=>63m6d856>;e>o0=>63m71856>;e?80=>63m73856>;e?:0=>63m75856>;e?<0=>63m77856>{t0:0;6?;t^263?[>434>>57<md:?56=<60016:5m519:893>e2822706:9;3;e>;3:10:4552b7091`=:j?n19h52b6591`=:j>219h52b6;91`=:j>k1:<52b6`924=:j>i1:<52b6f924=:j>o1:<52b71924=:j?>1:<52b77924=:j?<1:<52b75924=:j?21:<52b7;924=:j?k1:<52b7`924=:j?i1:<52b7g924=:j?l1:<52b62924=:j>;1:<52b60924=:j>91:<52b66924=:j>?1:<52b64924=z{181<7<:{_10b>X?:27?954=be9>271=91301;6k:0:;?80?k3;356375882<g=:<;<1=564=c41>0b<5k<o68j4=c54>0b<5k=368j4=c5:>0b<5k=j6;>4=c5a>36<5k=h6;>4=c5g>36<5k=n6;>4=c40>36<5k<?6;>4=c46>36<5k<=6;>4=c44>36<5k<36;>4=c4:>36<5k<j6;>4=c4a>36<5k<h6;>4=c4f>36<5k<m6;>4=c53>36<5k=:6;>4=c51>36<5k=86;>4=c57>36<5k=>6;>4=c55>36<uz2:6=4=5z\07`=Y081688=52cf8934128227087e;3;<>;10m0:445284:95=d<5=8?6<67;<`56?3d34h=h7;l;<`43?3d34h<47;l;<`4=?3d34h<m7;i;<`4f?3a34h<o7;i;<`4`?3a34h<i7;i;<`57?3a34h=87;i;<`51?3a34h=:7;i;<`53?3a34h=47;i;<`5=?3a34h=m7;i;<`5f?3a34h=o7;i;<`5a?3a34h=j7;i;<`44?3a34h<=7;i;<`46?3a34h<?7;i;<`40?3a34h<97;i;<`42?3a3ty<n7>525y]76><V>h019;=:3`g?80593;356398d82<<=:0<21=5o4=516>4>034h=>7;:;<`5`?3234h<;7;:;<`4<?3234h<57;:;<`4e?3b34h<n7;j;<`4g?3b34h<h7;j;<`4a?3b34h=?7;j;<`50?3b34h=97;j;<`52?3b34h=;7;j;<`5<?3b34h=57;j;<`5e?3b34h=n7;j;<`5g?3b34h=i7;j;<`5b?3b34h<<7;j;<`45?3b34h<>7;j;<`47?3b34h<87;j;<`41?3b34h<:7;j;|q00f<72>qU?9m4=7;7>7dc34<?i7?79:?;f1<60k16447519`89=5b282i706=3;3;f>{t;=k1<79t^26b?80>;38ih6394e82<<=:0k>1=574=9;:>4>>3428i7?79:?;66<6001v\7f>:6:184\7f[53127=5?4=be9>21e=913015l<:0:a?8>>03;3n6373e82<g=:0;81=5l4}r17<?6=?rT8855268396gb<5?>i6<66;<:a7?7?1273554>889><6b=913015<=:0::?xu4=<0;6:uQ347893?d2;ho708:7;3;=>;?j;0:4o5288595=d<519h6<6m;<:15?7?j2wx?8:50;5xZ63334<2n7<md:?513<600164o<519;89=?02822706<c;3;=>;?:80:445rs270>5<0sW9>?6399`81fa=:><?1=574=9`2>4>e3422:7?7b:?;7g<60k164?>519`8yv52:3:1;vP<539>2<?=:kn01;;;:0::?8>e93;356379782<<=:0:h1=574=903>4>>3ty89<4?:6y]707<5?336?lk;<467?7?1273n=4>8c9><<3=91h015=n:0:a?8>6n3;3n6s|34294?1|V:?;70867;0a`>;1=;0:44528c295=?<513>6<66;<:0e?7?1273=k4>889~w62a290<wS=;f:?5=3<5jm16:8?519;89=ga282i70664;3;f>;?;00:4o5280g95=d<uz9?i7>57z\00`=:>0?1>oj4=773>4>>342jj7?79:?;=1<600164>7519;89=7b28227p}<4e83>2}Y;=n01;7?:3`g?803n3;35637ad82<g=:0091=5l4=91;>4>e342:h7?7b:\7fp711=83=pR>:8;<4;b?4el27=8l4>889><dc=9130157<:0::?8>403;356371e82<<=z{;lo6=4={_0e`>;?l009ni5rs3da>5<5sW8mn637d981fa=z{;lj6=4={_0ee>;?l>09ni5rs3d:>5<5sW8m5637d781fa=z{::=6=4={_132>;?m809ni5rs226>5<5sW9;9637e181fa=z{::?6=4={_130>;?lo09ni5rs220>5<5sW9;?637dd81fa=z{::96=4={_136>;?lm09ni5rs222>5<5sW9;=637db81fa=z{::;6=4={_134>;?lk09ni5rs3de>5<5sW8mj637d`81fa=z{;ln6=4={_0ea>;?l<09ni5rs3d;>5<5sW8m4637d581fa=z{:?i6=4;{_16f>;2?<09ni5288;95=g<5<?36<67;|q01<<72=qU?874=457>7dc342257?78:?605<6011v\7f>;7:187\7f[52027>;>4=be9><<>=91k018=j:0:;?xu4=>0;69uQ345890152;ho70668;3;<>;2;j0:455rs247>5<3sW9=863:7e81fa=:00=1=5o4=471>4>?3ty8:>4?:5y]735<5<=h6?lk;<::3?7?027>9=4>899~w605290?wS=92:?63g<5jm16448519c8902b28237p}<6083>1}Y;?;0189n:3`g?8>>>3;3463:4b82<==z{:<;6=4;{_154>;2?009ni5288795=g<5<>j6<67;|q01c<72=qU?8h4=45;>7dc342297?78:?60=<6011v\7f>;j:187\7f[52m27>;:4=be9><<2=91k018:9:0:;?xu4=m0;69uQ34f890112;ho70664;3;<>;2<=0:455rs27`>5<3sW9>o63:7081fa=:0091=5o4=461>4>?3ty89;4?:5y]700<5<=;6?lk;<::7?7?027>9>4>869~w60f290>wS=9a:?6f<<5jm164o:519c89=44282j70;6c;3;<>{t;?21<7;t^24;?83e038ih637b582<==:0;91=564=4:7>4>?3ty8::4?:4y]731<5<h<6?lk;<:a7?7?i273>?4>8`9>1=4=9120q~=96;291~X4>?169o852cf89=d42823706=2;3;<>;2090:455rs250>5<2sW9<?63:c081fa=:0k81=5o4=902>4>f34?2:7?78:\7fp724=83?pR>9=;<7`4?4el273n?4>899><77=9120187;:0:;?xu4?80;68uQ363890da2;ho706m1;3;e>;?:90:4l5258095=><uz9<<7>55z\035=:=ko1>oj4=9`2>4>?3429<7?78:?6=5<6011v\7f>8i:186\7f[51n27>ni4=be9><g6=91k015?i:0:b?83?m3;346s|37g94?3|V:<n70;mc;0a`>;?j90:455280d95=><5<2h6<67;|q02a<72<qU?;j4=4`a>7dc342jj7?7a:?;5`<60h1695o519:8yv51k3:19vP<6b9>1gg=:kn015oi:0:;?8>6m3;3463:8982<==z{:<i6=4:{_15f>;2j<09ni528`g95=g<51;o6<6n;<7;2?7?02wx?;;50;7xZ60234?i87<md:?;e`<601164<j519:890?0282<7p}>6d83>7}Y9?o014?n:37:?xu6>j0;6?uQ17a89<7>2;?27p}>6`83>7}Y9?k014?8:37:?xu6>00;6?uQ17;89<722;?27p}>6983>7}Y9?2014?;:37:?xu6>>0;6?uQ17589<742;?27p}>6783>7}Y9?<014?=:37:?xu6><0;6?uQ17789<762;?27p}>6583>7}Y9?>014??:37:?xu6>:0;6?uQ17189<6a2;?27p}>6383>7}Y9?8014>j:37:?xu6>80;6?uQ17389<6c2;?27p}>5g83>7}Y9<l014<7:37:?xu6=l0;6?uQ14g89<402;?27p}>5e83>7}Y9<n014<9:37:?xu6=j0;6?uQ14a89<422;?27p}>5c83>7}Y9<h014<;:37:?xu6=h0;6?uQ14c89<442;?27p}>5883>7}Y9<3014<>:37:?xu6=10;6?uQ14:89<712;?27p}>5683>7}Y9<=014>m:37:?xu6=?0;6?uQ14489<6f2;?27p}>7483>7}Y9>?014<=:37:?xu6?=0;6?uQ16689<472;?27p}>7283>7}Y9>9014?i:37:?xu6?;0;6?uQ16089<7b2;?27p}>7083>7}Y9>;014?k:37:?xu6?90;6?uQ16289<7d2;?27p}>6g83>7}Y9?l014?m:37:?xu6>k0;6?uQ17`89<7?2;?27p}>6183>7}Y9?:014>l:37:?xu6=<0;6?uQ14789<6>2;?27p}=c683>7}Y:j=01:lk:3`g?xu5k<0;6?uQ2b7892dd2;ho7p}=c583>7}Y:j>01:lm:3`g?xu5k:0;6?uQ2b1892df2;ho7p}=d183>7}Y:m:01:m::3`g?xu5ko0;6?uQ2bd892e32;ho7p}=cd83>7}Y:jo01:m<:3`g?xu5km0;6?uQ2bf892e52;ho7p}=cb83>7}Y:ji01:m>:3`g?xu5kk0;6?uQ2b`892e72;ho7p}=c`83>7}Y:jk01:li:3`g?xu5k00;6?uQ2b;892db2;ho7p}=c983>7}Y:j201:l6:3`g?xu5k;0;6?uQ2b0892d?2;ho7p}i5;29=~Xa=27i:k4id:?a35<al27i;<4id:?a37<al27i;>4id:?a31<al27i;84id:?a33<al2wxj>4?:8y]b6=:j?l1n552b629f==:j>;1n552b609f==:j>91n552b669f==:j>?1n552b649f==z{o;1<77t^g389g0a2k=01o9?:c589g162k=01o9=:c589g142k=01o9;:c589g122k=01o99:c58yv`72902wSh?;<`5b?d134h<<7l9;<`45?d134h<>7l9;<`47?d134h<87l9;<`41?d134h<:7l9;|qfb?6=1rTnj63m6g8a1>;e?90i963m708a1>;e?;0i963m728a1>;e?=0i963m748a1>;e??0i96s|ed83><}Yml16n;h5b59>f26=j=16n:?5b59>f24=j=16n:=5b59>f22=j=16n:;5b59>f20=j=1v\7fhj50;;xZ`b<5k<m6o>4=c53>g6<5k=:6o>4=c51>g6<5k=86o>4=c57>g6<5k=>6o>4=c55>g6<uzoh6=46{_g`?8d1n3k>70l80;c6?8d093k>70l82;c6?8d0;3k>70l84;c6?8d0=3k>70l86;c6?xubj3:15vPjb:?a2c<>i27i;=46a:?a34<>i27i;?46a:?a36<>i27i;946a:?a30<>i27i;;46a:\7fpad<720qUil52b749ba=:j?=1ji52b7:9ba=:j?31ji52b7c9ba=:j?h1ji52b7a9ba=:j?o1ji5rsd;94??|Vl301o89:c:89g002k201o87:c:89g0>2k201o8n:c:89g0e2k201o8l:c:89g0b2k20q~k7:18:\7f[c?34h=:7l8;<`53?d034h=47l8;<`5=?d034h=m7l8;<`5f?d034h=o7l8;<`5a?d03tyn:7>59z\f2>;e>?0i:63m668a2>;e>10i:63m688a2>;e>h0i:63m6c8a2>;e>j0i:63m6d8a2>{tm<0;64uQe49>f30=j<16n;95b49>f3>=j<16n;75b49>f3g=j<16n;l5b49>f3e=j<16n;k5b49~w`2=833pRh:4=c45>g2<5k<<6o:4=c4;>g2<5k<26o:4=c4b>g2<5k<i6o:4=c4`>g2<5k<n6o:4}rg0>5<>sWo870l96;`3?8d1?3h;70l98;`3?8d113h;70l9a;`3?8d1j3h;70l9c;`3?8d1m3h;7p}j2;29=~Xb:27i:;4n5:?a22<f=27i:54n5:?a2<<f=27i:l4n5:?a2g<f=27i:n4n5:?a2`<f=2wxi<4?:8y]a4=:j?<15l52b759=d=:j?215l52b7;9=d=:j?k15l52b7`9=d=:j?i15l52b7g9=d=z{l:1<77t^d289g1f2on01o9m:gf89g1d2on01o9k:gf89g1b2on01o8<:gf89g032on01o8::gf8yvba2902wSji;<`4e?d?34h<n7l7;<`4g?d?34h<h7l7;<`4a?d?34h=?7l7;<`50?d?34h=97l7;|qga?6=1rToi63m7`8a3>;e?k0i;63m7b8a3>;e?m0i;63m7d8a3>;e>:0i;63m658a3>;e><0i;6s|de83><}Ylm16n:o5b79>f2d=j?16n:m5b79>f2b=j?16n:k5b79>f35=j?16n;:5b79>f33=j?1v\7fkm50;;xZce<5k=j6o;4=c5a>g3<5k=h6o;4=c5g>g3<5k=n6o;4=c40>g3<5k<?6o;4=c46>g3<uzli6=46{_da?8d0i3h?70l8b;`7?8d0k3h?70l8d;`7?8d0m3h?70l93;`7?8d1<3h?70l95;`7?xuai3:15vPia:?a3d<e827i;o4m0:?a3f<e827i;i4m0:?a3`<e827i:>4m0:?a21<e827i:84m0:\7fpb<<720qUj452b6c9e0=:j>h1m852b6a9e0=:j>n1m852b6g9e0=:j?91m852b769e0=:j??1m85rsg:94??|Vo201o9n:8c89g1e20k01o9l:8c89g1c20k01o9j:8c89g0420k01o8;:8c89g0220k0q~h8:181\7f[`034h<577n;|qe2?6=:rTm:63m798:e>{tn;0;6?uQf39>f21=1h1v\7fh950;0xZ`1<5k<o64o4}rf`>5<5sWnh70l92;;b?xu6mh0;6<7t=22`>73d34?h>776;<74a??>34>j8776;<70e??>34>=<776;<6e2??>34>:o776;<:7e?17342=979?;<:;1?17342j<79?;<5g6?1734=nh79?;<:3b?173429m79?;<41b?1734<:879?;<46<?1734<8h79?;<`56?70027i:i4>799>f21=9>201o97:05;?8d013;<46s|8d494?5|51o?6:84=9g5>7dc342n9799;|q;a7<720;p15k;:3`g?8>b>3<m70:j8;50?82b138=<63;ed847>;3mo0<?63;f1847>;3n80<?63;f3847>;3n:0<?63;f5847>;3n<0<?63;e`847>;3mm0<?63;ec847>;3mj0<?63;978125=:<0=1;>5248a936=:<0n1;>5248g936=:<0l1;>524`2936=:<h;1;>524`0936=:<h91;>5248:936=:<0h1;>5248;936=:<0k1;>52440936=:<<91;>5244:936=:<<31;>5244c936=:<<h1;>5244a936=:<<n1;>5244g936=:<<l1;>52446936=:<<?1;>52444936=:<<=1;>528519636<5>i26?8?;<4`1?1434<h879<;<4`7?1434<h>79<;<4``?1434<ho79<;<4`f?1434<hm79<;<4`=?1434<h479<;<4`3?1434<h:79<;<4`5?1434<h<79<;<51b?1434=9i79<;<51`?1434=9o79<;<503?1434=8:79<;<501?1434=8879<;<507?1434=8>79<;<505?1434=8<79<;<51f?1434=9m79<;<54g?1434=<n79<;<54e?1434=<579<;<5;0?1434=3?79<;<5;6?1434=3=79<;<5;4?1434=<j79<;<54a?1434=<h79<;<54<?1434=<;79<;<5:<?1434=2;79<;<5:2?1434=2979<;<5b4?1434=2j79<;<5:a?1434=2h79<;<5:g?1434=2n79<;<5:e?1434=2579<;<5:0?1434=2?79<;<5a`?1434=io79<;<5af?1434=im79<;<5`1?1434=h879<;<5`7?1434=h>79<;<5`5?1434=h<79<;<5ab?1434=ii79<;<5a=?1434=i479<;<`56?4cm27i:i4=dd9>f21=:mo01o97:3ff?8d0138oi63m7`81``=:j>h1>ik4=c5`>7bb34h<h7<ke:?a3`<5ll16n;=52eg89g032;nn70l95;0ga>;e>?09hh52b7596ac<5k<36?jj;<`5=?4cm27i:l4=dd9>f3d=:mo01o8l:3ff?8d1m38oi63m6g81``=:j>:1>ik4=c52>7bb34h<>7<ke:?a36<5ll16n::52eg89g122;nn70l86;0ga>{t0l=1<77i{<:f<?0a342n;7<md:?543<5>916:=952728936d2>901;>k:618936b2>901;>i:61893772>901;?>:61893752>901;?<:618936?2>901;>m:618936>2>901;>n:61890d32>9018l::343?83ei3=870;mb;50?83ek3=870;md;50?83em3=870;mf;50?83d83=870;l1;50?83e>3=870;m9;50?83e?3=870;m8;50?830838=<63:70847>;2??0<?63:76847>;2?10<?63:78847>;2?h0<?63:7c847>;2?j0<?63:7e847>;2?;0<?63:74847>;2?:0<?63:75847>;2:j0<?63:2e847>;2;;0<?63:32847>;2;=0<?63:34847>;2;?0<?63:36847>;2;10<?63:38847>;2:l0<?63:2g847>;2;90<?63:30847>;??l09:=528149636<5?3?6:=4=7;0>25<5?396:=4=7;2>25<5?3h6:=4=7;a>25<5?3j6:=4=7;:>25<5?336:=4=7;4>25<5?3=6:=4=7;6>25<5?3;6:=4=7:e>25<5?o:6:=4=7g3>25<5?nm6:=4=7ff>25<5?o26:=4=7g;>25<5?o<6:=4=7g5>25<5?o>6:=4=7g7>25<5?o86:=4=7g1>25<5?no6:=4=7f`>25<5?lo6:=4=7d`>25<5?li6:=4=7db>25<5>:>6:=4=627>25<5>:86:=4=621>25<5>::6:=4=623>25<5?lm6:=4=7df>25<5?l26:=4=7d;>25<5><;6:=4=67e>25<5>?n6:=4=67g>25<5><36:=4=644>25<5><=6:=4=646>25<5><?6:=4=640>25<5><96:=4=642>25<5>?h6:=4=67a>25<51n26:=4=9f;>25<51n<6:=4=9f5>25<51o:6:=4=9g3>25<51nm6:=4=9ff>25<51no6:=4=9f`>25<51ni6:=4=9fb>25<51n>6:=4=9f7>25<5k<96?jk;<`5`?4cl27i;:4=de9>f2>=:mn01o96:3fg?8d0i38oh63m7c81`a=:j>i1>ij4=c5g>7bc34h<i7<kd:?a26<5lm16n;:52ef89g022;no70l96;0g`>;e>>09hi52b7:96ab<5k<26?jk;<`5e?4cl27i:o4=de9>f3e=:mn01o8j:3fg?8d1n38oh63m7181`a=:j>;1>ij4=c51>7bc34h<?7<kd:?a31<5lm16n:;52ef89g112;no7p}7e983>7}:0l21>oj4=9g4>20<uz?nm7>53z?543<0>27>o?4=d69>1ad=:<30q~;i8;297~;18?09ni5282:95=><5<ni6<68;|q6ac<72;q6:=95779>1`7=:<30q~;id;297~;18>09ni5282:95=g<5<ij6<67;|q6b5<72;q6:=m5779>1`4=:<30q~;ie;297~;18j09ni5282;95=><5<ih6<67;|q6b4<72;q6:=j5779>1`5=:<30q~;if;297~;18m09ni5282;95=g<5<in6<67;|q6b7<72;q6:=k5779>1`2=:<30q~8?0;297~;18l09ni5282c95=><5<n;6<67;|q6b6<72;q6:=h5779>1`3=:<30q~8?1;297~;18o09ni5282c95=g<5<n96<67;|q6b1<72;q6:<>5779>1`0=:<30q~8?2;297~;19909ni5282`95=><5<n?6<67;|q6b0<72;q6:<?5779>1`1=:<30q~8?3;297~;19809ni5282`95=g<5<n=6<67;|q6b3<72;q6:<<5779>1`>=:<30q~8?4;297~;19;09ni5282a95=><5<n36<67;|q6b2<72;q6:<=5779>1`?=:<30q~8?5;297~;19:09ni5282a95=g<5<nj6<67;|q6ag<72;q6:=65779>1ae=:<30q~;i9;297~;18109ni5282f95=><5<i?6<67;|q6a`<72;q6:=l5779>1a`=:<30q~;ic;297~;18k09ni5282g95=g<5<o;6<67;|q6af<72;q6:=75779>1ab=:<30q~;ia;297~;18009ni5282f95=g<5<i=6<67;|q6aa<72;q6:=o5779>1ac=:<30q~;ib;297~;18h09ni5282g95=><5<i36<67;|q6g7<72:q69n<524;890e>2>:018k>:628yv3di3:1?v3:c881`2=:=l;1=im4=4ab>73>3ty>o44?:2y>1f?=:<3018mm:62890c52>:0q~;lc;297~;2kk09h:525d095ae<5<ih6?;6;|q6gg<72:q69nl524;890ec2>:018k<:628yv3dm3:1?v3:ce81`2=:=l91=im4=4af>73>3ty>oi4?:2y>1fb=:<3018mi:62890c32>:0q~;k0;297~;2ko09h:525d695ae<5<n;6?;6;|q6gc<72:q69nh524;890b62>:018k::628yv3c:3:1?v3:d081`2=:=l?1=im4=4f1>73>3ty>h<4?:2y>1a7=:<3018j<:62890c12>:0q~;k4;297~;2l:09h:525d495ae<5<n?6?;6;|q6`6<72:q69i=524;890b22>:018k8:628yv3c>3:1?v3:d481`2=:=l=1=im4=4f5>73>3ty>h84?:2y>1a3=:<3018j8:62890c?2>:0q~;k8;297~;2l>09h:525d:95ae<5<n36?;6;|q6`2<72:q69i9524;890b>2>:018k6:628yv3ci3:1?v3:d881`2=:=l31=im4=4fb>73>3ty>h44?:2y>1a?=:<3018m<:62890bd2>:0q~;l4;297~;2k:09h:525ea95ae<5<i?6?;6;|q6g6<72:q69n=524;890e22>:018jk:628yv3d>3:1?v3:c481`2=:=mn1=im4=4a5>73>3ty>o84?:2y>1f3=:<3018m8:62890bb2>:0q~;l8;297~;2k>09h:525eg95ae<5<i36?;6;|q6g2<72;q69n9524;890ba2>:0q~;j0;296~;2lo0:hn525d2960?<uz?j:7>53z?6f1<0>27>;h4=d69>1<1=:<30q~;nb;296~;2j<0<:63:9e811<=z{<kh6=4={<7ae?1134?2i7<:9:\7fp1db=838p18lm:64890?a2;?27p}:ad83>7}:=ki1;;525`2960?<uz?jj7>52z?6fa<0>27>m<4=589~w0d72909w0;me;55?83f:38>56s|5c394?4|5<hm6:84=4c0>73>3ty>n?4?:3y>1f6=??169l:524;8yv3e;3:1>v3:c0842>;2i<09945rs4c4>5<5s4?i:799;<7:<?4212wx9lo50;0x90d>2><0187m:37:?xu2i10;6?u25c5933=:=031>874}r7b=?6=:r7>n5486:?6=d<5=01v\7f89j:180\7f830m38>563:84844>;21m0<<6s|59494?5|5<2>6?j8;<7:`?7ck27>4;4=589~w0>22908w0;75;06=>;20>0<<63:9d844>{t=121<7=t=4:4>7b034?2i7?kc:?6<=<5=01v\7f868:180\7f83??38>563:88844>;21o0<<6s|59c94?5|5<226?j8;<7:b?7ck27>4l4=589~w0>>2908w0;79;06=>;20k0<<63:a1844>{t=1i1<7=t=4:a>7b034?j<7?kc:?6<f<5=01v\7f86m:180\7f83?j38>563:8e844>;2i80<<6s|59g94?5|5<2o6?j8;<7b5?7ck27>4h4=589~w0>c2908w0;7d;06=>;20o0<<63:a3844>{t=0:1<7=t=4:e>7b034?j>7?kc:?6=5<5=01v\7f86i:180\7f83?n38>563:90844>;2i:0<<6s|58094?5|5<3:6?j8;<7b7?7ck27>5?4=589~w0?62908w0;61;06=>;21:0<<63:a5844>{t=0>1<7=t=4;0>7b034?j87?kc:?6=1<5=01v\7f87<:180\7f83>;38>563:94844>;2i<0<<6s|58494?5|5<3>6?j8;<7b1?7ck27>5;4=589~w0?22908w0;65;06=>;2?o0<<63:99844>{t=1:1<7=t=45e>7b034?247?kc:?6<5<5=01v\7f89i:180\7f830n38>563:80844>;2100<<6s|59094?5|5<2:6?j8;<7:=?7ck27>4?4=589~w0>62908w0;71;06=>;20:0<<63:9`844>{t=1>1<7=t=4:0>7b034?2m7?kc:?6<1<5=01v\7f86<:181\7f83?;38>563:9c844>{t=0i1<7<t=4;a>4bd34?2o7<:9:\7fp0fe=839p19k7:64891g32;n<70:md;06=>{t<mk1<7=t=5g;>7dc34=mn7?78:?7fa<60>1v\7f9j>:181\7f82b13==70:l3;06=>{t<ml1<7=t=5g:>7dc34=mn7?7a:?7ef<6011v\7f9j=:181\7f82bm3==70:l4;06=>{t<l:1<7=t=5gf>7dc34=mo7?78:?7e`<6011v\7f9j<:181\7f82bn3==70:l5;06=>{t<l;1<7=t=5ge>7dc34=mo7?7a:?7f5<6011v\7f9j;:181\7f82a83==70:l6;06=>{t<l81<7=t=5d3>7dc34=mh7?78:?7f7<6011v\7f9j::181\7f82a93==70:l7;06=>{t<l91<7=t=5d2>7dc34=mh7?7a:?7f1<6011v\7f9j9:181\7f82a:3==70:l8;06=>{t<l>1<7=t=5d1>7dc34=mi7?78:?7f3<6011v\7f9j8:181\7f82a;3==70:l9;06=>{t<l?1<7=t=5d0>7dc34=mi7?7a:?7f=<6011v\7f9j7:181\7f82a<3==70:la;06=>{t<l<1<7=t=5d7>7dc34=mj7?78:?7fd<6011v\7f9j6:181\7f82a=3==70:lb;06=>{t<l=1<7=t=5d6>7dc34=mj7?7a:?7ff<6011v\7f9mk:181\7f82bi3==70:me;06=>{t<mh1<7=t=5gb>7dc342;<7?78:?7e3<6011v\7f9j?:181\7f82bl3==70:l1;06=>{t<mo1<7=t=5gg>7dc342;=7?7a:?7g7<6011v\7f9mj:181\7f82bj3==70:mf;06=>{t<mi1<7=t=5ga>7dc342;<7?7a:?7e=<6011v\7f9mi:181\7f82bk3==70:l0;06=>{t<mn1<7=t=5g`>7dc342;=7?78:?7ed<6011v\7f9o;:180\7f82f<38>563;ac844>;3k:0<<6s|4`a94?5|5=ki6?j8;<6`7?7ck27?mn4=589~w1ge2908w0:nb;06=>;3im0<<63;c5844>{t<ho1<7=t=5cg>7b034>h87?kc:?7e`<5=01v\7f9ok:180\7f82fl38>563;ag844>;3k<0<<6s|4c294?5|5=km6?j8;<6`1?7ck27?n=4=589~w1ga2908w0:nf;06=>;3j80<<63;c7844>{t<k81<7=t=5`2>7b034>h:7?kc:?7f7<5=01v\7f9l>:180\7f82e938>563;b2844>;3k>0<<6s|4c694?5|5=h86?j8;<6`3?7ck27?n94=589~w1d42908w0:m3;06=>;3j<0<<63;c9844>{t<k<1<7=t=5`6>7b034>h47?kc:?7f3<5=01v\7f9l::180\7f82e=38>563;b6844>;3k00<<6s|4c:94?5|5=h<6?j8;<6`=?7ck27?n54=589~w1d02908w0:m7;06=>;3j00<<63;c`844>{t<kk1<7=t=5`:>7b034>hm7?kc:?7fd<5=01v\7f9l6:180\7f82e138>563;bc844>;3kk0<<6s|4ca94?5|5=hi6?j8;<6`f?7ck27?nn4=589~w1de2908w0:mb;06=>;3i<0<<63;bd844>{t<h<1<7=t=5c6>7b034>ii7?kc:?7e3<5=01v\7f9o::180\7f82f=38>563;a6844>;3jo0<<6s|4`:94?5|5=k<6?j8;<6ab?7ck27?m54=589~w1g02908w0:n7;06=>;3i00<<63;c1844>{t<hk1<7=t=5c:>7b034>h<7?kc:?7ed<5=01v\7f9o6:181\7f82f138>563;c0844>{t<j81<7<t=5a2>4bd34>h>7<:9:\7fp134=839p189?:648905f2;n<70;:3;06=>{t=?=1<7<t=452>20<5<?26?;6;|q62=<72;q69:85779>10g=:<30q~;99;296~;2?>0<:63:5c811<=z{<<j6=4={<74<?1134?>o7<:9:\7fp13d=838p1896:648903c2;?27p}:6b83>7}:=>k1;;5254g960?<uz?=h7>52z?63g<0>27>9k4=589~w00b2909w0;8c;55?831838>56s|57d94?4|5<=o6:84=442>73>3ty>:>4?:3y>124=??1698:524;8yv31>3:1>v3:74842>;2=>09945rs447>5<5s4?<?799;<761?4212wx9;;50;0x90132><018;9:37:?xu2;h0;6>u252c960?<5<>:6:>4=47:>26<uz??>7>53z?604<5l>1698751ea890252;?27p}:4083>6}:==;1>874=460>26<5<?j6:>4}r770?6=;r7>8>4=d69>10g=9mi018:;:37:?xu2<:0;6>u2551960?<5<>>6:>4=47a>26<uz??:7>53z?600<5l>1698l51ea890212;?27p}:4483>6}:==?1>874=464>26<5<?h6:>4}r77<?6=;r7>8:4=d69>10e=9mi018:7:37:?xu2<>0;6>u2555960?<5<>26:>4=47g>26<uz??m7>53z?60<<5l>1698j51ea8902f2;?27p}:4883>6}:==31>874=46a>26<5<?n6:>4}r77g?6=;r7>8o4=d69>10c=9mi018:l:37:?xu2<k0;6>u255`960?<5<>o6:>4=47e>26<uz??i7>53z?60a<5l>1698h51ea8902b2;?27p}:4e83>6}:==n1>874=46e>26<5<<;6:>4}r764?6=;r7>8k4=d69>136=9mi018;?:37:?xu2<o0;6>u255d960?<5<?:6:>4=442>26<uz?>>7>53z?614<5l>169;?51ea890352;?27p}:5083>6}:=<;1>874=41a>26<5<??6:>4}r70g?6=;r7>?o4=d69>102=9mi018=l:37:?xu2;k0;6>u252`960?<5<9o6:>4=476>26<uz?8i7>53z?67a<5l>1698;51ea8905b2;?27p}:3e83>6}:=:n1>874=41e>26<5<?=6:>4}r774?6=;r7>?k4=d69>100=9mi018:?:37:?xu2;o0;6?u252d960?<5<?<6:>4}r76<?6=:r7>9:4>db9>10>=:<30q~:78;297~;31?0<:63;6181`2=:<>31>874}r6;`?6=:r7?5:486:?73c<5=01v\7f96j:181\7f82>k3==70:70;06=>{t<1l1<7<t=5;g>20<5=2:6?;6;|q7=5<72;q684k5779>0=4=:<30q~:61;296~;31o0<:63;82811<=z{=396=4={<6b4?1134>387<:9:\7fp0<5=838p19o>:64891>22;?27p};9583>7}:<h81;;52494960?<uz>297>52z?7e6<0>27?4:4=589~w1>>2909w0:68;55?820i38>56s|49a94?4|5=3i6:84=55g>73>3ty?4l4?:3y>0<?=??168:l524;8yv2?j3:1>v3;9`842>;3?j09945rs543>5<4s4>=<7<:9:?722<0827?;k480:\7fp03>=839p1988:3f4?820n3;oo63;69811<=z{=<<6=4<{<653?42127?:4480:?7<5<082wx8;o50;1x910>2;n<70:70;3gg>;3>h09945rs54:>5<4s4>=57<:9:?72g<0827?4<480:\7fp03e=839p198m:3f4?82?93;oo63;6b811<=z{=<i6=4<{<65f?42127?:i480:?7<7<082wx8;k50;1x910c2;n<70:72;3gg>;3>l09945rs54g>5<4s4>=h7<:9:?72c<0827?4>480:\7fp026=839p198i:3f4?82?;3;oo63;71811<=z{=<m6=4<{<65b?42127?;<480:?7<1<082wx8:<50;1x91162;n<70:74;3gg>;3?;09945rs552>5<4s4><=7<:9:?736<0827?48480:\7fp022=839p199<:3f4?82?=3;oo63;75811<=z{==86=4<{<647?42127?;8480:?7<3<082wx8:850;1x91122;n<70:76;3gg>;3??09945rs556>5<4s4><97<:9:?732<0827?4:480:\7fp02>=839p1998:3f4?82??3;oo63;79811<=z{==<6=4<{<643?42127?:<480:?73d<082wx8;<50;1x91062;n<70:8a;3gg>;3>;09945rs542>5<4s4>==7<:9:?726<0827?;o480:\7fp032=839p198<:3f4?820j3;oo63;65811<=z{=<86=4<{<657?42127?:8480:?73f<082wx8;850;1x91022;n<70:8c;3gg>;3>?09945rs546>5<5s4>=97<:9:?73a<082wx8:k50;0x911c28nh70:8e;06=>{t=8o1<7=t=40`>20<5=l=6?j8;<73b?4212wx9?=50;0x904c2><018?::37:?xu2:=0;6?u2520933=:=8<1>874}r711?6=:r7>?>486:?652<5=01v\7f8<9:181\7f834<3==70;>8;06=>{t=;=1<7<t=416>20<5<;26?;6;|q66=<72;q69>85779>14g=:<30q~;=9;296~;2;>0<:63:1c811<=z{<8j6=4={<70<?1134?:o7<:9:\7fp17d=838p18=6:648907c2;?27p}:1g83>7}:=;o1;;52502960?<uz?9<7>52z?66c<0>27>=<4=589~w0462909w0;<0;55?836:38>56s|53094?4|5<9:6:84=430>73>3ty?j;4?:2y>0c0=:<3019hk:62890722>:0q~:ie;297~;3nm09h:5250795ae<5=ln6?;6;|q7ba<72:q68kj524;891`a2>:018?9:628yv3783:1?v3;fg81`2=:=8<1=im4=423>73>3ty?jk4?:2y>0c`=:<3018>>:62890702>:0q~;?2;297~;28809h:5250595ae<5<:96?;6;|q644<72:q69=?524;890642>:018?7:628yv37<3:1?v3:0281`2=:=821=im4=427>73>3ty><>4?:2y>155=:<3018>::628907>2>:0q~;?6;297~;28<09h:5250;95ae<5<:=6?;6;|q640<72:q69=;524;890602>:018?n:628yv3703:1?v3:0681`2=:=8k1=im4=42;>73>3ty><:4?:2y>151=:<3018>6:628907e2>:0q~;?a;297~;28009h:5250`95ae<5<:j6?;6;|q64<<72:q69=7524;8906e2>:018?l:628yv37k3:1?v3:0c81`2=:=8i1=im4=42`>73>3ty><o4?:2y>15d=:<3018>k:628907c2>:0q~;?e;297~;28m09h:5250f95ae<5<:n6?;6;|q64a<72:q69=j524;891`02>:018??:628yv2a03:1?v3;f681`2=:=8:1=im4=5d;>73>3ty?j:4?:2y>0c1=:<3019h6:62890762>:0q~:ia;297~;3n009h:5250395ae<5=lj6?;6;|q7b<<72:q68k7524;891`e2>:018?=:628yv2ak3:1?v3;fc81`2=:=881=im4=5d`>73>3ty?jo4?:3y>0cd=:<3018?<:628yv36<3:1>v3:1282`f=:=8>1>874}r670?6=;r7?9?486:?75f<5l>168>;524;8yv2313:1>v3;52842>;3;k09945rs56b>5<5s4>>4799;<60g?4212wx89l50;0x913>2><019=k:37:?xu3<j0;6?u244c933=:<:o1>874}r67`?6=:r7?9o486:?77c<5=01v\7f9:j:181\7f822k3==70:;0;06=>{t<=l1<7<t=57g>20<5=>:6?;6;|q715<72;q688k5779>014=:<30q~::1;296~;3=o0<:63;42811<=z{=>>6=4={<660?1134>8:7<:9:\7fp010=838p19;::64891502;?27p};4683>7}:<<<1;;5242:960?<uz>?47>52z?712<0>27??44=589~w17d2908w0:>c;06=>;3::0<<63;3c844>{t<;>1<7=t=500>7b034>8n7?kc:?761<5=01v\7f9<<:180\7f825;38>563;24844>;3;j0<<6s|43494?5|5=8>6?j8;<60g?7ck27?>;4=589~w1422908w0:=5;06=>;3:>0<<63;3e844>{t<;21<7=t=504>7b034>8h7?kc:?76=<5=01v\7f9<8:180\7f825?38>563;28844>;3;l0<<6s|43c94?5|5=826?j8;<60a?7ck27?>l4=589~w14>2908w0:=9;06=>;3:k0<<63;3g844>{t<;i1<7=t=50a>7b034>8j7?kc:?76f<5=01v\7f9<m:180\7f825j38>563;2e844>;3<90<<6s|43g94?5|5=8o6?j8;<674?7ck27?>h4=589~w14c2908w0:=d;06=>;3:o0<<63;40844>{t<::1<7=t=50e>7b034>?=7?kc:?775<5=01v\7f9<i:180\7f825n38>563;30844>;3<;0<<6s|42094?5|5=9:6?j8;<676?7ck27???4=589~w1562908w0:<1;06=>;3;:0<<63;42844>{t<:>1<7=t=510>7b034>??7?kc:?771<5=01v\7f9=<:180\7f824;38>563;1e844>;3;?0<<6s|40g94?5|5=;o6?j8;<602?7ck27?=h4=589~w17c2908w0:>d;06=>;39o0<<63;36844>{t<;:1<7=t=53e>7b034>8;7?kc:?765<5=01v\7f9?i:180\7f826n38>563;20844>;3;10<<6s|43094?5|5=8:6?j8;<60<?7ck27?>?4=589~w1462909w0:=1;06=>;3;00<<6s|42c94?4|5=926<jl;<60e?4212wx48?50;0x9=2f2;n<706:8;06=>{t0=>1<7<t=96b>73>342?n79?;|q;17<72;q649l52e589=3>2;?27p}74483>7}:0=h1>874=96`>26<uz2>?7>52z?;0f<5l>1648o524;8yv>3>3:1>v374b811<=:0=n1;=5rs977>5<5s42?h7<k7:?;1g<5=01v\7f5:8:181\7f8>3l38>56374d844>{t0<?1<7<t=96f>7b0342>o7<:9:\7fp<1>=838p15:j:37:?8>3n3=;7p}75783>7}:0=l1>i94=97g>73>3ty3844?:3y><1`=:<3015;?:628yv>2?3:1>v375181`2=:0<o1>874}r:4e?6=:r739=4=589><14=91h0q~69c;296~;?><09h:52861960?<uz2>j7>52z?;20<5=0164;85719~w=0c2909w0696;0g3>;??=09945rs943>5<5s42=:7<:9:?;22<082wx4;k50;0x9=002;n<70685;06=>{t0?;1<7<t=944>73>342=479?;|q;2c<72;q64;652e589=112;?27p}76383>7}:0?21>874=94:>26<uz2<<7>52z?;2<<5l>164:9524;8yv>1;3:1>v3768811<=:0?k1;=5rs952>5<5s42=m7<k7:?;3=<5=01v\7f58;:181\7f8>1i38>56376c844>{t0>81<7<t=94a>7b0342<57<:9:\7fp<2d=838p158m:37:?8>3:3;3m6s|85394?4|51>86:84=961>73>3ty34n4?:3y><=3=:m=0157<:37:?xu??o0;6?u2897960?<512=6:>4}r:;`?6=:r734;4=d69><<2=:<30q~670;296~;?0?099452895935=z{12n6=4={<:;3?4c?273584=589~w=>62909w0677;06=>;?010<<6s|89d94?4|51236?j8;<::2?4212wx45<50;0x9=>?2;?270679;53?xu?190;6?u289;96a1<513<6?;6;|q;<6<72;q6457524;89=>f2>:0q~661;296~;?0h09h:5288:960?<uz2387>52z?;<d<5=01645l5719~w=?52909w067b;0g3>;?1009945rs9`6>5<5s423n7<:9:?;3a<60k1v\7f5o8:181\7f8>f838o;637ad811<=z{13j6=4={<:b4?421273m<480:\7fp<d>=838p15o>:3f4?8>fn38>56s|88`94?4|51k:6?;6;<:b6?173ty3m44?:3y><d4=:m=015l?:37:?xu?1j0;6?u28`0960?<51k86:>4}r:be?6=:r73m>4=d69><g7=:<30q~66d;296~;?i:0994528`6935=z{1ki6=4={<:b0?4c?273n?4=589~w=?b2909w06n4;06=>;?i<0<<6s|8`a94?4|51k>6?j8;<:a7?4212wx44h50;0x9=g22;?2706n6;53?xu?im0;6?u28`496a1<51h?6?;6;|q;f3<72;q64l8524;89=1c282j7p}77b83>7}:0>o1;;5286f960?<uz=o57>52z?4`7<5l>16;h>524;8yv1dk3:1>v38d3811<=:?m91;=5rs6fb>5<5s4=o?7<k7:?4a4<5=01v\7f:mk:181\7f81c;38>5638d5844>{t?mh1<7<t=6f7>7b034=n>7<:9:\7fp3fc=838p1:j;:37:?81c=3=;7p}8db83>7}:?m?1>i94=6g0>73>3ty<ok4?:3y>3a3=:<301:j9:628yv1cl3:1>v38d781`2=:?l>1>874}r5g4?6=:r7<h;4=589>3a1=?91v\7f:jj:181\7f81c?38o;638e4811<=z{>n:6=4={<5g3?42127<h5480:\7fp3a`=838p1:j7:3f4?81b>38>56s|81094?4|5>n36?;6;<5`f?7?i2wx;k:50;0x92cc2;n<709ib;06=>{t?l=1<7<t=6gg>73>34=ni79?;|q4b0<72;q6;hk52e5892`d2;?27p}8e983>7}:?lo1>874=6ge>26<uz=m:7>52z?4ac<5l>16;kj524;8yv1b13:1>v38eg811<=:?o:1;=5rs6d4>5<5s4=m<7<k7:?4b`<5=01v\7f:kn:181\7f81a838>5638f0844>{t?o21<7<t=6d2>7b034=mj7<:9:\7fp3`d=838p1:h>:37:?81a:3=;7p}8f883>7}:?o81>i94=923>73>3ty<in4?:3y>3c4=:<301:h<:628yv1ai3:1>v38f281`2=:09;1>874}r:37?6=:r7<j>4=589>3fd=91h0q~9l7;296~;0k00=j638c9811<=z{>ij6=4={<5`=?1134=hn7<:9:\7fp<40=838p15>i:3f4?8>6l38>56s|81;94?4|51:m6?;6;<:24?173ty3=:4?:3y><46=:m=015?j:37:?xu?8h0;6?u2802960?<51;:6:>4}r:2<?6=:r73=<4=d69><4`=:<30q~6?b;296~;?98099452800935=z{1;26=4={<:26?4c?273>=4=589~w=6d2909w06>2;06=>;?9:0<<6s|80c94?4|51;86?j8;<:15?4212wx4=j50;0x9=742;?2706>4;53?xu?9k0;6?u280696a1<51896?;6;|q;4`<72;q64<:524;89=722>:0q~6>c;296~;?9<09h:52831960?<uz28j7>52z?;50<5=0164=6519c8yv>493:1>v372`81`2=:0:21>874}r:10?6=:r73>l4=589><7d=?91v\7f5==:181\7f8>5j38o;63738811<=z{18>6=4={<:1f?421273>n480:\7fp<65=838p15<l:3f4?8>4i38>56s|83494?4|518h6?;6;<:1`?173ty3?94?:3y><7b=:m=015=m:37:?xu?:>0;6?u283f960?<518n6:>4}r:01?6=:r73>h4=d69><6e=:<30q~6=8;296~;?:l09945283d935=z{19=6=4={<:1b?4c?273?i4=589~w=4>2909w06=f;06=>;?;90<<6s|82594?4|519;6?j8;<:0a?4212wx49>50;0x9=572;?2706?8;3;f>{t09>1<7<t=925>3`<51:>6?;6;|q;42<72;q64=85779><5>=:<30q~8k2;291~;11=0<:639e081fa=:>0o1=574=7c3>4>?34<j>7?7a:\7fp2<b=838p1;7<:64893?b2;?27p}99g83>7}:>081;;526`2960?<uz<j=7>57z?5=4<0>27=m?4=589>2d2=91301;o9:0:;?80f03;3m639a`82<g=:?8>1=5l4}r4b7?6=:r7=5n486:?5e1<5=01v\7f;o::181\7f80>j3==708n6;06=>{t>h=1<7<t=7;b>20<5?k36?;6;|q5e<<72;q6:475779>2de=:<30q~8ne;296~;1110<:639ag811<=z{?h;6=4={<4:3?1134<i=7<:9:\7fp34d=838p1;79:648927e2;?27p}81883>7}:>0?1;;5270c960?<uz=:;7>52z?5=5<0>27<=54=589~w2742909w087f;55?816;38>56s|6dg94?4|5?o:6:84=7dg>7dc3ty=ii4?:3y>2`6=??16:km52cf8yv0c93:18v39e181fa=:>0o1=564=7c3>4>>34<j>7?7b:\7fp2`e=838p1;ji:64893`e2;ho7p}9d183>6}:>ml1>oj4=7c3>4>f34<j>7?78:\7fp2`d=838p1;jj:64893`f2;ho7p}9cg83>7}:>mo1>oj4=7c1>4>>3ty=j:4?:3y>2`?=??16;=;52cf8yv0cj3:1:v39e881fa=:>h>1=564=7c5>4>>34<j47?7b:?5ed<60h16;<:519;8yv0a>3:1>v39e9842>;08=09ni5rs7fb>5<2s4<n47<md:?5e3<60h16:l6519:893gf2822709>4;3;<>{t>o?1<7<t=7g4>20<5>:86?lk;|q5`<<72=q6:h952cf893g?2822708na;3;<>;1ik0:455rs7d7>5<5s4<n:799;<536?4el2wx:i650;4x93c12;ho709>b;3;=>;1ij0:45526`d95=?<5?h:6<6n;<4bf?7?12wx:k=50;0x93c22><01:>>:3`g?xu1l>0;68u26d796gb<5>;i6<67;<4bb?7?027=n<4>889>2dd=91k0q~8i2;296~;1m=0<:6380181fa=z{?n=6=49{<4f0?4el27<=n4>899>2g7=91201:?<:0::?816i3;356381982<<=z{?l:6=4={<4f7?1134<mj7<md:\7fp2a3=83?p1;k<:3`g?816k3;356381282<==:?8k1=564=63;>4>?3ty=j=4?:3y>2`4=??16:kk52cf8yv0c<3:1>v39e381fa=:>hh1=5l4}r4fb?6=:r7=hi486:?5b<<5jm1v\7f;j<:180\7f80cl38ih6381482<==:?821=5o4}r4fe?6=:r7=hn486:?5b=<5jm1v\7f;mj:181\7f80ck38ih6381482<<=z{?h=6=4={<4e`?1134<h97<md:\7fp2g3=838p1;hl:64893e32;ho7p}9b583>7}:>oh1;;526b196gb<uz<i?7>52z?5bd<0>27=o?4=be9~w3da2909w09?5;55?80dl38ih6s|6cg94?4|5>:?6:84=7a`>7dc3ty=ni4?:3y>355=??16:nl52cf8yv0ek3:1>v3803842>;1kh09ni5rs7`a>5<5s4=;=799;<4`=?4el2wx:oo50;0x92672><01;m7:3`g?xu1j00;6?u26gd933=:>j=1>oj4}r4a<?6=:r7=jh486:?5g3<5jm1v\7f;l8:181\7f80a13==708l1;0a`>{t>k81<7<t=7d;>20<5?i;6?lk;|q443<72;q6:n:5779>2=4=:<30q~9?7;296~;1k:0<:63982811<=z{>:36=4={<4`6?1134<387<:9:\7fp35?=838p1;mk:64893>22;?27p}80`83>7}:>ji1;;52694960?<uz=;n7>52z?5gg<0>27=4:4=589~w26d2909w08la;55?80?038>56s|71f94?4|5?i26:84=7::>73>3ty<<h4?:3y>2f>=??16:5o524;8yv17n3:1>v39c6842>;10k09945rs633>5<5s4<h:799;<4;g?4212wx;<?50;0x93e62><01;6k:37:?xu09;0;6?u26b2933=:>1o1>874}r55`?6==r7<>k486:?43f<5jm16;>7519;8925e2823709<d;3;e>{t?:21<7<t=60f>20<5>926?;6;|q47d<72;q6;?j5779>36d=:<30q~9<c;293~;0:j0<:6383e811<=:?:l1=574=662>4>?34=??7?7a:?400<60k16;lh519`8yv14m3:1>v3836842>;0;o09945rs663>5<5s4=8:799;<575?4212wx;9<50;0x92522><01::<:37:?xu0<=0;6?u2726933=:?==1>874}r57=?6=:r7<?>486:?40d<5=01v\7f::m:181\7f814:3==709;c;06=>{t?k<1<7<t=612>20<5>h=6?;6;|q4f1<72;q6;>>5779>3g3=:<30q~9m2;296~;0:k0<:638b2811<=z{>kn6=4={<51e?1134=ji7<:9:\7fp3=?=838p1:9l:64892??2;ho7p}88983>7}:?>h1;;5278596gb<uz==o7>54z?43g<5jm16;>7519:8925e2822709<d;3;f>{t?1=1<7<t=65b>20<5>3=6?lk;|q42g<72:q6;:o52cf8925e282j709<d;3;<>{t?1<1<7<t=65:>20<5>3>6?lk;|q42d<72;q6;:752cf8925c28227p}89383>7}:?1>1;;527`296gb<uz=<:7>56z?4<1<5jm16;>h519:892262822709;3;3;f>;0<<0:4l527`d95=?<uz=2=7>52z?4<6<0>27<5k4=be9~w212290>w0973;0a`>;0<80:4l5275195=><5>>>6<66;<5bb?7?02wx;4>50;0x92>52><01:7j:3`g?xu0?=0;69u279096gb<5>>86<66;<571?7?027<8;4>899~w2>a2909w0971;55?81>l38ih6s|76194?0|5>2:6?lk;<5a2?7?127<8:4>899>31g=91301::l:0:b?813>3;356s|79g94?4|5>2;6:84=6;`>7dc3ty<;?4?:4y>3=6=:kn01:l9:0:;?813i3;346384b82<<=:?=<1=5o4}r5;`?6=:r7<;k486:?4=g<5jm1v\7f:9>:185\7f810n38ih638b682<==:?=i1=564=6cf>4>>34=i97?79:?4f6<6001v\7f:6l:181\7f810m3==7096a;0a`>{t?>:1<7;t=65f>7dc34=i;7?79:?4e`<60116;o;519:892d428237p}88c83>7}:?>n1;;5278;96gb<uz==j7>52z?43a<5jm16;98519`8yv1?i3:1>v3879842>;01=09ni5rs64f>5<4s4=<47<md:?4f5<60116;o=519c8yv1?=3:1>v3876842>;01:09ni5rs64:>5<5s4=<;7<md:?4f5<6001v\7f:;>:181\7f81>03==70990;0a`>{t?<:1<7<t=6;4>20<5>?m6?lk;|q40c<72;q6;485779>30c=:kn0q~9;e;296~;01<0<:6385e81fa=z{>?j6=4={<5b4?1134==47<md:\7fp30?=838p1:7i:64892002;ho7p}85983>7}:?0o1;;5277496gb<uz=>;7>52z?4=a<0>27<:84=be9~w2312909w096c;55?811<38ih6s|74794?4|5>3i6:84=640>7dc3ty<994?:3y>3<g=??16;;<52cf8yv12;3:1>v3898842>;0>809ni5rs671>5<5s4=28799;<56g?4el2wx;9j50;0x92?42><01:;m:3`g?xu0i80;6?u274d933=:?8n1>874}r5b6?6=:r7<9h486:?45`<5=01v\7f:o<:181\7f812l3==709>f;06=>{t?h>1<7<t=64;>20<5>8;6?;6;|q4e0<72;q6;;95779>377=:<30q~9n6;296~;0>?0<:63823811<=z{>k<6=4={<551?1134=9?7<:9:\7fp3d>=838p1:8;:64892432;?27p}8a883>7}:??91;;52737960?<uz=jm7>52z?427<0>27<>;4=589~w2ge2909w0991;55?815?38>56s|7`a94?4|5>?h6:84=60;>73>3ty<mi4?:3y>30d=??16;?7524;8yv06?3:1>v3932844>;19>09945rs75g>5<5s4<8?7?kc:?560<5=01v\7f5lm:181\7f804;38>5638be842>{t>8<1<7=t=711>26<5?;<6:>4=735>73>3ty=;n4?:2y>264=9mi01;?8:3f4?805<38>56s|8cc94?4|5?996?;6;<5ag?113ty==84?:2y>267=?916:<85719>243=:<30q~88b;297~;1;80:hn5260496a1<5?886?;6;|q;f<<72;q6:>?524;892de2><0q~8=0;297~;1;90<<63914844>;1:909945rs75b>5<4s4<8<7?kc:?550<5l>16:?<524;8yv>e03:1>v3931811<=:?kk1;;5rs73e>5<4s4<8o79?;<414?1734<:j7<:9:\7fp22?=839p1;=l:0f`?805838o;6392d811<=z{1i?6=4={<40g?42127<o8486:\7fp24c=839p1;=m:628937a2>:01;?j:37:?xu1?10;6>u262`95ae<5?;m6?j8;<41`?4212wx4n=50;0x935e2;?2709l4;55?xu19m0;6>u262c935=:>8o1;=5260f960?<uz<<;7>53z?57d<6lj16:<k52e58934d2;?27p}7c383>7}:>:k1>874=6a0>20<uz<:o7>53z?57<<0827==i480:?55f<5=01v\7f;99:180\7f80413;oo6391e81`2=:>;h1>874}r:`5?6=:r7=?44=589>3f4=??1v\7f;?m:180\7f80403=;708>c;53?806j38>56s|66794?5|5?936<jl;<42g?4c?27=>l4=589~w=e72909w08<8;06=>;0k80<:6s|60c94?5|5?9<6:>4=73a>26<5?;j6?;6;|q531<72:q6:>951ea8937e2;n<708=9;06=>{t0kl1<7<t=714>73>34=h<799;|q55<<72:q6:>85719>24g=?916:<7524;8yv00;3:1?v393782`f=:>8k1>i94=70;>73>3ty3nh4?:3y>260=:<301:li:648yv0603:1?v3934844>;1900<<63919811<=z{?=96=4<{<401?7ck27==44=d69>271=:<30q~6md;296~;1;<0994527cg933=z{?;?6=4<{<400?1734<:479?;<420?4212wx::?50;1x935328nh708>8;0g3>;1:?09945rs9``>5<5s4<887<:9:?4f<<0>2wx::>50;1x934a28nh708>4;0g3>;1:809945rs9`4>5<5s4<9j7<:9:?4f=<0>2wx:9>50;0x933d2>:01;:?:37:?xu1>o0;6?u264a95ae<5?>n6?;6;|q;g<<72;q6:8m524;89=b>2><0q~8<f;297~;1=k0<<63941844>;1;o09945rs74f>5<4s4<>n7?kc:?505<5l>16:9j524;8yv>d03:1>v395c811<=:0m21;;5rs71f>5<4s4<>m79?;<40b?1734<8i7<:9:\7fp23b=839p1;;n:0f`?804n38o;6394b811<=z{1i<6=4={<46e?421273h:486:\7fp21?=839p1;;6:628935b2>:01;:6:37:?xu1>j0;6>u264;95ae<5?9n6?j8;<47f?4212wx4n850;0x933>2;?2706k6;55?xu1<10;6>u2677935=:>=31;=5265:960?<uz<=n7>53z?520<6lj16:9752e5893302;?27p}7d383>7}:>??1>874=9g2>20<uz<?;7>53z?521<0827=85480:?502<5=01v\7f;8n:180\7f801<3;oo6394981`2=:><<1>874}r:g5?6=:r7=:94=589><`6=??1v\7f;:9:180\7f801;3=;708;7;53?803>38>56s|67;94?5|5?<86<jl;<473?4c?27=984=589~w=b72909w0893;06=>;?lo0<:6s|65794?5|5?<96:>4=765>26<5?>>6?;6;|q52=<72:q6:;<51ea893212;n<708:4;06=>{t0jl1<7<t=741>73>342oi799;|q501<72:q6:;?5719>213=?916:9:524;8yv01?3:1?v396082`f=:>=?1>i94=770>73>3ty3oh4?:3y>237=:<3015jk:648yv03;3:1?v3961844>;1<=0<<63942811<=z{?<=6=4<{<454?7ck27=894=d69>204=:<30q~6ld;296~;1>90994528ea933=z{?>96=4<{<46b?1734<??79?;<476?4212wx:5?50;1x933a28nh708;3;0g3>;1=809945rs9a`>5<5s4<>j7<:9:?;`g<0>2wx:9?50;1x933b2>:01;:=:62893262;?27p}98183>6}:><o1=im4=761>7b034<><7<:9:\7fp<fd=838p1;;j:37:?8>ci3==7p}93e83>6}:><n1;=52653935=:>:n1>874}r44b?6=;r7=9i4>db9>217=:m=01;:i:37:?xu?kh0;6?u264f960?<51n>6:84}r44a?6=;r7=954>db9>26b=:m=01;:n:37:?xu?k<0;6?u264:960?<51n?6:84}rc02?6==r72>44>899>=7?=9130146<:0:;?8??;3;3563m63826<=z{h2>6=4;1z?:6<<5l>1655=52e589<2e2;n<707n5;0g3>;>=>09h:529c396a1<50?j6?j8;<;a0?4c?2729o4=d69>=g3=:m=01l67:3`g?8?f;38o;6364881`2=:1h>1>i94=86b>7b0343jj7<k7:?:10<5l>165oo52e589<072;n<707mc;0g3>;>>;09h:529cf96a1<50<86?j8;<;aa?4c?272:94=d69>=g`=:m=0148::3f4?8?d838o;6366781`2=:1j;1>i94=844>7b0343j:7<k7:?:0f<5l>165l952e589<2c2;n<707n8;0g3>;><l09h:529`;96a1<50>m6?j8;<;be?4c?2729=4=d69>=dd=:m=014;>:3f4?8?fk38o;6365381`2=:1hn1>i94=870>7b0343ji7<k7:?:11<5l>165o>52e589<312;n<707m2;0g3>;>=109h:529c196a1<50?26?j8;<;a2?4c?2729n4=d69>=g1=:m=014;k:3f4?8?e038o;6365d81`2=:1k31>i94=87e>7b0343in7<k7:?:24<5l>1v\7f4<6:181\7f8?5138>56360882<<=z{0286=4={<;;7?421272<44>899~wd>12909=v360881`2=:19i1>i94=83;>7b0343:n7<k7:?:5f<5l>16m5752cf89<6f2;n<707?b;0g3>;>9?09h:5293396a1<50886?j8;<;10?4c?272>84=d69>=70=:m=014<8:3f4?8?5038o;6360e81`2=:19o1>i94=82e>7b0343:<7<k7:?:54<5l>165<<52e589<742;n<707>4;0g3>;>9<09h:5290596a1<50;26?j8;<;2e?4c?272=i4=d69>=4c=:m=014?i:3f4?8?5838o;6362381`2=z{h?;6=4:{<;7f?7?02728o4>889>=d3=912014o::0::?8d1l3;956s|93a94?4|50>i6?;6;<;3g?7?12wx55850;0x9<g22;?2707?c;3;<>{ti>n1<7;t=874>4>?343>;7?79:?:f4<601165o?519;89g1028827p}63983>7}:1<=1>874=83;>4>>3ty25?4?:3y>=g7=:<3014?7:0:;?xuf9?0;68u294c95=><50?j6<66;<;a0?7?0272n94>889>f2>=9;30q~7<b;296~;>=h09945290`95=?<uz3297>52z?:f1<5=0165<l519:8yvg6?3:19v365c82<==:1<h1=574=8`6>4>?343i97?79:?a3<<6:01v\7f4=l:181\7f8?2j38>56361b82<<=z{03=6=4={<;a1?421272=n4>899~wg1a290:=v3n8885b>;f010=j63n8685b>;?n10:4o528g595=d<51l=6<6m;<:e1?7?j273j94>8c9><c5=91k015h=:0:a?8>a93;3n63m81811<=:j?81=:74=c4g>41>34h<;7?89:?a3=<6?016n:7516;8yvg?<3:1:iu2a9596gb<50n>6<67;<;46?7?0272:54>899>=fe=912014k?:0:;?8?a03;3463n0382<==:1>k1=564=8g:>4>?343m<7?78:?:b2<601165k;519:89d6f28237078e;3;<>;>?m0:45529g495=><50i96<67;<c3`?7?027j<h4>899>=2`=912014m<:0:;?8?d<3;34636c682<==:1j?1=564=`2e>4>?3433=7?78:?:<5<601165n8519:89<e?282370o>0;3;<>;f980:455299095=><50i26<67;<;`e?7?0272oh4>899>=fd=91201l?=:0:;?8?a13;346366882<==:1jn1=564=8ae>4>?343o<7?78:?:2d<601165kl519:89<0e2823707ia;3;<>;>l:0:45529e395=><50n96<67;<;eg?7?0272:n4>899>=a2=912014j9:0:;?8?c?3;346366e82<==:1oo1=564=84f>4>?343mh7?78:?:`d<601165i6519:89<b>2823707if;3;<>;>>o0:45529e`95=><50nh6<67;<;g`?7?0272;=4>899>e57=9120149>:0:;?8g783;34636e082<==:1mo1=564=8fe>4>?34k;?7?78:?:36<601165h<519:89<c42823707j4;3;<>;>?=0:4552a1795=><5h:?6<67;<;41?7?0272i:4>899>=`3=912014k9:0:;?8g7>3;346367782<==:1l21=564=8gb>4>?343nn7?78:?:32<60116m=6519:89<1?282370o?7;3;<>;>ml0:45529da95=><50oo6<67;<c3=?7?0272;44>899>=``=912014h>:0:;?8?a:3;346367c82<==:i9i1=564=85`>4>?34k;n7?78:?:b6<601165k:519:8yv43m3:1>v38b6811<=:?k<1=5o4}r57<?6==r7<n;4>8c9>311=91301::n:0:b?813k3;3n63844811<=z{;>m6=4={<52g?42127<=o4>8`9~w3gc290>w09>b;3;f>;1ij0:44526`d95=g<5?h:6<6m;<4be?4212wx5=>50;6x9=`72;?270l95;34<>;e>l0:;552b64952><uz2mj7>54z?;ac<5=016n;:516:89g0d28=370l85;34<>{t0oo1<7:t=9gf>73>34h=?7?88:?a2g<6?116n::516:8yv>al3:18v37ee811<=:j>o1=:64=c4b>41?34h<?7?88:\7fp<ce=83>p15kl:37:?8d0l3;<463m68823==:j>81=:64}r:ef?6=<r73io4=589>f2e=9>201o87:05;?8d093;<46s|8gc94?2|51oj6?;6;<`4f?70027i::4>799>f26=9>20q~6i9;290~;?m0099452b6c952><5k<=6<97;<`5b?7002wx=k850;0x92d72;?2709ne;3;e>{t?k;1<7:t=6cf>4>e34=i97?7a:?4f6<60k16;lh524;8yv7a?3:1>v3814811<=:?891=5o4}r522?6=<r7<=>4>8c9>34g=91k01:?7:0:a?816<38>56s|91:94?2|51l36?;6;<`51?70127i:h4>789>f20=9>30q~7?7;290~;?n>099452b76952?<5k<h6<96;<`41?7012wx5=850;6x9=`12;?270l93;34=>;e>k0:;452b66952?<uz3;97>54z?;b0<5=016n:k516;89g0f28=270l83;34=>{t19>1<7:t=9d7>73>34h<h7?89:?a2<<6?016n:<516;8yv?7;3:18v37f2811<=:j>i1=:74=c4;>41>34h<=7?89:\7fp=54=83>p15h=:37:?8d0j3;<563m66823<=:j>:1=:74}r;35?6=<r73j<4=589>f2g=9>301o89:05:?8d1n3;<56s|8d194?4|5k2;6<6n;<:f1?4el2wx><=50;0x92ga282j709;6;3g`>{t:8<1<7<t=637>4>f34<jn7?kd:\7fpf15=838p14j::0::?8d0k3;?96s|b5a94?4|50n>6<6n;<`4`?73=2wxm:?50;0x9<b22;?2707n3;3;=>{ti0:1<7<t=851>4>>34h=87?;6:\7fpe<?=838p149=:0:b?8d1=3;?:6s|a0194?4|50=96?;6;<;7e?7?12wxm5h50;0x9<0?282270l94;371>{ti021<7<t=84;>4>f34h=97?;5:\7fpe0d=838p1487:37:?8?313;356s|b4794?4|50ih6<66;<`4a?73=2wxn8k50;0x9<ed282j70l93;371>{ti?<1<7<t=8a`>73>343?57?78:\7fpf00=838p14k?:0::?8d0m3;?:6s|b4d94?4|50o;6<6n;<`57?73>2wxm<750;0x9<c72;?2707;a;3;<>{tj:;1<7<t=8d;>4>>34h<m7?;5:\7fpf6g=838p14h7:0:b?8d0j3;?96s|a6a94?4|50l36?;6;<;b7?7?02wxn><50;0x9d65282270l8a;372>{tj:h1<7<t=`21>4>f34h<n7?;6:\7fpe7c=838p1l>=:37:?8?f<3;346s|a8394?4|50=j6<66;<`50?73?2wxm4o50;0x9<1f282j70l95;373>{ti;l1<7<t=85b>73>343>97?79:\7fpf12=838p14k6:0::?8d0k3;?:6s|b5f94?4|50o26<6n;<`4`?73>2wxm?=50;0x9<c>2;?2707n4;3;=>{tj<=1<7<t=8d3>4>>34h<i7?;7:\7fpf36=838p14h?:0:b?8d1;3;?;6s|a2294?4|50l;6?;6;<;61?7?02wxn9850;0x9<`0282270l8c;364>{tj=l1<7<t=8d4>4>f34h<h7?:0:\7fpe63=838p14h8:37:?8?ei3;356s|b5794?4|50l>6<66;<`4g?73?2wxn9k50;0x9<`2282j70l8d;373>{ti:;1<7<t=8d6>73>343jj7?79:\7fpf65=838p1l>n:0::?8d0i3;?;6s|b2a94?4|5h:j6<6n;<`4f?73?2wxm><50;0x9d6f2;?2707nf;3;<>{tim81<7<t=85f>4>>34h=o7?=9:\7fpead=838p149j:0:b?8d1m3;956s|a2:94?4|50=n6?;6;<;56?7?12wxm4<50;0x9<1c282270l94;364>{ti0h1<7<t=85g>4>f34h=97?:0:\7fpe65=838p149k:37:?8?183;356s|b4:94?4|50l=6<66;<`4a?7282wxn;?50;0x9<`1282j70l93;364>{ti:>1<7<t=8d5>73>343=<7?78:\7fpef6=838p14m=:0::?8d1i3;956s|ab;94?4|50i96<6n;<`5f?7512wxm>750;0x9<e52;?270792;3;<>{tj:>1<7<t=`2g>4>>34h<m7?:0:\7fpf6b=838p1l>k:0:b?8d0j3;><6s|a2594?4|5h:o6?;6;<;ae?7?02wxm4m50;0x9d6b282270l96;31=>{tih?1<7<t=`2f>4>f34h=;7?=9:\7fpe6d=838p1l>j:37:?8?ek3;346s|ae194?4|50=m6<66;<`5g?74<2wxmim50;0x9<1a282j70l9e;300>{ti:i1<7<t=85e>73>343=?7?79:\7fpedc=838p14m<:0::?8d103;956s|ac594?4|50i86<6n;<`5=?7512wxm>o50;0x9<e42;?2707mc;3;=>{tij;1<7<t=8a7>4>>34h=m7?<4:\7fpefg=838p14m;:0:b?8d1j3;886s|a2f94?4|50i?6?;6;<;57?7?02wxmo>50;0x9<e0282270l98;30b>{tik31<7<t=8a4>4>f34h=57?<f:\7fpe14=838p14m8:37:?8?em3;356s|a`d94?4|50i>6<66;<`5<?74<2wxmo650;0x9<e2282j70l99;300>{ti:o1<7<t=8a6>73>343ih7?79:\7fpe<b=838p1l>i:0::?8d1>3;886s|a`494?4|5h:m6<6n;<`53?74<2wxm>h50;0x9d6a2;?2707md;3;<>{tim?1<7<t=8:2>4>>34h=o7?;3:\7fpeac=838p146>:0:b?8d1m3;??6s|a5694?4|502:6?;6;<;51?7?12wxmi:50;0x9<>7282270l9c;30b>{timn1<7<t=8:3>4>f34h=i7?<f:\7fpe16=838p146?:37:?8?1<3;356s|ab094?4|50i=6<66;<`5e?74n2wxmnl50;0x9<e1282j70l9b;30b>{ti=;1<7<t=8a5>73>343=87?78:\7fpef5=838p14m7:0::?8d1i3;??6s|aba94?4|50i36<6n;<`5f?73;2wxm9;50;0x9<e?2;?270795;3;<>{ti0o1<7<t=`33>4>>34h=:7?<f:\7fped1=838p1l??:0:b?8d1?3;8j6s|a5194?4|5h;;6?;6;<;aa?7?02wxm4h50;0x9d76282270l96;377>{tih21<7<t=`32>4>f34h=;7?;3:\7fpe11=838p1l?>:37:?8?en3;346s|ae494?4|50296<66;<`5g?73<2wxmih50;0x9<>5282j70l9e;370>{ti=21<7<t=8:1>73>343=:7?79:\7fpeg7=838p14m6:0::?8d103;??6s|acc94?4|50i26<6n;<`5=?73;2wxm9850;0x9<e>2;?2707mf;3;=>{tij>1<7<t=8ab>4>>34h=m7?;4:\7fpefb=838p14mn:0:b?8d1j3;?86s|a5;94?4|50ij6?;6;<;52?7?02wxmo=50;0x9<eb282270l98;371>{tiki1<7<t=8af>4>f34h=57?;5:\7fpe1c=838p14mj:37:?8?d93;356s|ac094?4|50ii6<66;<`5<?73<2wxmol50;0x9<ee282j70l99;370>{ti=k1<7<t=8aa>73>343h<7?79:\7fped6=838p1l?=:0::?8d1>3;?86s|a`;94?4|5h;96<6n;<`53?73<2wxm9l50;0x9d752;?2707l0;3;<>{tih;1<7<t=8d:>4>>34h=:7?;5:\7fpedg=838p14h6:0:b?8d1?3;?96s|a5d94?4|50l26?;6;<;`5?7?02wxmi950;0x9<0>282270l9c;371>{til:1<7<t=84:>4>f34h=i7?;5:\7fpe1e=838p1486:37:?8?1?3;356s|ab794?4|50io6<66;<`5e?73=2wxmnk50;0x9<ec282j70l9b;371>{ti=n1<7<t=8ag>73>343=;7?78:\7fpef0=838p14mi:0::?8d1i3;?:6s|abd94?4|50im6<6n;<`5f?73>2wxm8<50;0x9<ea2;?2707;c;3;<>{tik>1<7<t=8f3>4>>34h=47?;6:\7fpegb=838p14j?:0:b?8d113;?:6s|a4194?4|50n;6?;6;<;b2?7?12wxmi650;0x9<0f282270l9c;372>{til;1<7<t=84b>4>f34h=i7?;6:\7fpe07=838p148n:37:?8?3k3;356s|a`194?4|50li6<66;<`52?73?2wxmlm50;0x9<`e282j70l97;373>{ti<21<7<t=8da>73>343j;7?78:\7fpea?=838p148m:0::?8d1k3;?;6s|ad094?4|50<i6<6n;<`5a?73?2wxm8;50;0x9<0e2;?2707;d;3;=>{tih81<7<t=8db>4>>34h=:7?;6:\7fpedd=838p14hn:0:b?8d1?3;?:6s|a4694?4|50lj6?;6;<;b2?7?02wxmn650;0x9<b4282270l9a;364>{tim;1<7<t=8f0>4>f34h=n7?:0:\7fpe0g=838p14j<:37:?8?3m3;346s|ab594?4|50n:6<66;<`5e?73?2wxmi>50;0x9<b6282j70l9b;373>{ti<<1<7<t=8f2>73>343?h7?78:\7fpeg3=838p14j=:0::?8d103;?;6s|acg94?4|50n96<6n;<`5=?73?2wxm8950;0x9<b52;?2707n7;3;=>{tih>1<7<t=8d`>4>>34h=:7?:0:\7fpedb=838p14hl:0:b?8d1?3;><6s|a4f94?4|50lh6?;6;<;b<?7?02wxmio50;0x9<0d282270l9c;364>{til91<7<t=84`>4>f34h=i7?:0:\7fpe0?=838p148l:37:?8?3m3;356s|ac494?4|50n?6<66;<`5<?7282wxmoh50;0x9<b3282j70l99;364>{ti<i1<7<t=8f7>73>343j47?79:\7fpf5>=838p14j9:0::?8d0;3;956s|b0394?4|50n=6<6n;<`40?7512wxm8h50;0x9<b12;?2707;f;3;<>{tio<1<7<t=8f4>4>>34h<=7?=9:\7fpec`=838p14j8:0:b?8d0:3;956s|a7294?4|50n<6?;6;<;b=?7?12wxn<o50;0x9<0c282270l85;31=>{tj;91<7<t=84g>4>f34h<:7?=9:\7fpe0c=838p148k:37:?8?3n3;356s|ad794?4|50ln6<66;<`5b?74<2wxmhk50;0x9<`b282j70l80;300>{ti??1<7<t=8df>73>343jm7?78:\7fpf4d=838p148j:0::?8d0=3;886s|b3694?4|50<n6<6n;<`42?74<2wxm;<50;0x9<0b2;?2707:0;3;=>{til>1<7<t=8dg>4>>34h=j7?=9:\7fpe`b=838p14hk:0:b?8d083;956s|a7394?4|50lo6?;6;<;b=?7?02wxn=o50;0x9<bf282270l83;30b>{tj891<7<t=8fb>4>f34h<87?<f:\7fpe3>=838p14jn:37:?8?293;346s|b1;94?4|50n36<66;<`47?74<2wxn<<50;0x9<b?282j70l84;300>{ti?91<7<t=8f;>73>343><7?78:\7fpec1=838p14j6:0::?8d093;886s|b1294?4|50n26<6n;<`46?74<2wxm;:50;0x9<b>2;?2707na;3;=>{til<1<7<t=8de>4>>34h=j7?<f:\7fpe``=838p14hi:0:b?8d083;8j6s|a7c94?4|50lm6?;6;<;bf?7?02wxn<m50;0x9<0a282270l85;30b>{tj;?1<7<t=84e>4>f34h<:7?<f:\7fpe31=838p148i:37:?8?293;356s|ag:94?4|50ni6<66;<`45?74n2wxn=?50;0x9<be282j70l82;30b>{ti?31<7<t=8fa>73>343jn7?79:\7fpf5d=838p14jl:0::?8d0;3;??6s|b0694?4|50nh6<6n;<`40?73;2wxm;m50;0x9<bd2;?2707:2;3;<>{tio31<7<t=8fg>4>>34h<=7?;3:\7fpf54=838p14jk:0:b?8d0:3;??6s|a7f94?4|50no6?;6;<;bg?7?12wxn<j50;0x9<17282270l85;377>{tj;<1<7<t=853>4>f34h<:7?;3:\7fpe3d=838p149?:37:?8?2:3;356s|ad:94?4|5h::6<66;<`5b?73<2wxmk?50;0x9d66282j70l80;370>{ti>91<7<t=`22>73>343jh7?78:\7fpf4c=838p149>:0::?8d0=3;?86s|b3594?4|50=:6<6n;<`42?73<2wxm;h50;0x9<162;?2707:3;3;=>{til=1<7<t=`23>4>>34h=j7?;3:\7fpec6=838p1l>?:0:b?8d083;??6s|a7g94?4|5h:;6?;6;<;bg?7?02wxn=j50;0x9<c6282270l83;371>{tj8<1<7<t=8g2>4>f34h<87?;5:\7fpe23=838p14k>:37:?8?2<3;346s|b1a94?4|50nn6<66;<`47?73<2wxn<;50;0x9<bb282j70l84;370>{ti>:1<7<t=8ff>73>343>?7?78:\7fpecg=838p14ji:0::?8d093;?86s|b1194?4|50nm6<6n;<`46?73<2wxm:<50;0x9<ba2;?2707nd;3;=>{til31<7<t=`20>4>>34h=j7?;5:\7fpec4=838p1l><:0:b?8d083;?96s|a6594?4|5h:86?;6;<;ba?7?02wxn<h50;0x9<14282270l85;371>{tj;21<7<t=850>4>f34h<:7?;5:\7fpe22=838p149<:37:?8?2<3;356s|ag`94?4|50o96<66;<`45?73=2wxn=:50;0x9<c5282j70l82;371>{ti><1<7<t=8g1>73>343ji7?79:\7fpf5c=838p14k<:0::?8d0;3;?:6s|b0594?4|50o86<6n;<`40?73>2wxm:750;0x9<c42;?2707:6;3;<>{tioi1<7<t=8g7>4>>34h<=7?;6:\7fpf53=838p14k;:0:b?8d0:3;?:6s|a6c94?4|50o?6?;6;<;a4?7?12wxn?>50;0x9<13282270l85;372>{tj;31<7<t=857>4>f34h<:7?;6:\7fpe2>=838p149;:37:?8?2>3;356s|ad`94?4|5h:>6<66;<`5b?73?2wxmk:50;0x9d62282j70l80;373>{ti1;1<7<t=`26>73>343i>7?78:\7fpe`g=838p1l>;:0::?8d1n3;?:6s|ag194?4|5h:?6<6n;<`44?73>2wxm:l50;0x9d632;?2707m0;3;<>{tj;;1<7<t=856>4>>34h<97?;7:\7fpf7g=838p149::0:b?8d0>3;?;6s|a6g94?4|50=>6?;6;<;6<?7?12wxn<>50;0x9<c0282270l83;364>{tj831<7<t=8g4>4>f34h<87?:0:\7fpe=5=838p14k8:37:?8?213;346s|b1d94?4|50o>6<66;<`47?73?2wxn<650;0x9<c2282j70l84;373>{ti>l1<7<t=8g6>73>343>47?78:\7fpecb=838p14k9:0::?8d093;?;6s|b1494?4|50o=6<6n;<`46?73?2wxm5>50;0x9<c12;?2707m2;3;=>{tili1<7<t=`25>4>>34h=j7?:0:\7fpec3=838p1l>9:0:b?8d083;><6s|a0794?4|5h:=6?;6;<;a7?7?02wxn?<50;0x9<11282270l85;364>{tj;h1<7<t=855>4>f34h<:7?:0:\7fpe=4=838p1499:37:?8?213;356s|agg94?4|50o36<66;<`45?7282wxn=950;0x9<c?282j70l82;364>{ti8>1<7<t=8g;>73>343i?7?79:\7fpf06=838p14kn:0::?8d0m3;956s|b4;94?4|50oj6<6n;<`57?7512wxm<o50;0x9<cf2;?2707:c;3;<>{tj:o1<7<t=8ga>4>>34h<o7?=9:\7fpf11=838p14km:0:b?8d0l3;956s|a0`94?4|50oi6?;6;<;a2?7?12wxm5o50;0x9<10282270l94;31=>{ti091<7<t=854>4>f34h=97?=9:\7fpe4>=838p1498:37:?8?2k3;356s|b3f94?4|5h:36<66;<`4e?74<2wxn>850;0x9d6?282j70l8b;300>{ti;:1<7<t=`2;>73>343i;7?78:\7fpe=d=838p1497:0::?8d1<3;886s|a8694?4|50=36<6n;<`51?74<2wxm<j50;0x9<1?2;?2707:d;3;=>{tj;i1<7<t=`24>4>>34h<m7?=9:\7fpf63=838p1l>8:0:b?8d0j3;956s|a0a94?4|5h:<6?;6;<;a2?7?02wxn8<50;0x9<cb282270l8e;30b>{tj<h1<7<t=8gf>4>f34h=?7?<f:\7fpe74=838p14kj:37:?8?2m3;346s|b4394?4|50oh6<66;<`4a?74<2wxn8o50;0x9<cd282j70l93;300>{ti8o1<7<t=8g`>73>343>h7?78:\7fpf6`=838p14kk:0::?8d0k3;886s|b5:94?4|50oo6<6n;<`4`?74<2wxm<h50;0x9<cc2;?2707m7;3;=>{tj;o1<7<t=`2:>4>>34h<m7?<f:\7fpf61=838p1l>6:0:b?8d0j3;8j6s|a3794?4|5h:26?;6;<;a<?7?02wxm5m50;0x9<1>282270l94;30b>{ti0?1<7<t=85:>4>f34h=97?<f:\7fpe77=838p1496:37:?8?2m3;356s|b5294?4|50om6<66;<`4g?74n2wxn9750;0x9<ca282j70l8d;30b>{ti;>1<7<t=8ge>73>343i47?79:\7fpf05=838p14h>:0::?8d0m3;??6s|b4a94?4|50l:6<6n;<`57?73;2wxm?950;0x9<`62;?2707:f;3;<>{tj=;1<7<t=8d1>4>>34h<o7?;3:\7fpf1g=838p14h=:0:b?8d0l3;??6s|a3:94?4|50l96?;6;<;a=?7?12wxm5j50;0x9<1e282270l94;377>{ti0<1<7<t=85a>4>f34h=97?;3:\7fpe70=838p149m:37:?8?2n3;356s|b2294?4|5h:h6<66;<`4e?73<2wxn>750;0x9d6d282j70l8b;370>{ti;n1<7<t=`2`>73>343in7?78:\7fpe=c=838p149l:0::?8d1<3;?86s|a8594?4|50=h6<6n;<`51?73<2wxm?o50;0x9<1d2;?270791;3;=>{tj;l1<7<t=`2a>4>>34h<m7?;3:\7fpf6>=838p1l>m:0:b?8d0j3;??6s|a3;94?4|5h:i6?;6;<;a=?7?02wxn8:50;0x9<`4282270l8e;370>{tj<n1<7<t=8d0>4>f34h=?7?;4:\7fpe7d=838p14h<:37:?8?193;346s|b5094?4|50l?6<66;<`4g?73<2wxn9l50;0x9<`3282j70l8d;370>{ti;i1<7<t=8d7>73>343in7?79:\7fp==2=838p14o<:37:?8?7i3;346s|93c94?4|50>26?;6;<;3e?7?12wx55h50;0x9<g32;?2707?b;3;<>{t1:?1<7<t=86b>73>343;n7?79:\7fp=<g=838p14oi:37:?8?6>3;346s|95294?4|50?>6?;6;<;22?7?12wx54j50;0x9<df2;?2707=1;3;<>{t1=91<7<t=843>73>3439=7?79:\7fp=<c=838p14ll:37:?8?5;3;346s|95694?4|50<96?;6;<;17?7?12wx54h50;0x9<dc2;?2707=4;3;<>{t1=?1<7<t=840>73>343987?79:\7fp=d6=838p14lj:37:?8?5=3;346s|95494?4|50<?6?;6;<;11?7?12wx5l?50;0x9<da2;?2707=6;3;<>{t1==1<7<t=846>73>3439:7?79:\7fp=d4=838p14m?:37:?8?5?3;346s|95:94?4|50<=6?;6;<;13?7?12wx55;50;0x9<e62;?2707=8;3;<>{t1;h1<7<t=844>73>343947?79:\7fp==1=838p14o9:37:?8?7l3;346s|93f94?4|50>h6?;6;<;3`?7?12wx55650;0x9<g02;?2707?e;3;<>{t1;o1<7<t=86g>73>343;i7?79:\7fp==?=838p14o7:37:?8?7n3;346s|93d94?4|50>n6?;6;<;3b?7?12wx55o50;0x9<g>2;?2707>0;3;<>{t1::1<7<t=86e>73>343:<7?79:\7fp==d=838p14on:37:?8?693;346s|92394?4|50?;6?;6;<;25?7?12wx55m50;0x9<ge2;?2707>2;3;<>{t1:81<7<t=872>73>343:>7?79:\7fp==b=838p14ol:37:?8?6;3;346s|92194?4|50?96?;6;<;27?7?12wx55k50;0x9<gc2;?2707>4;3;<>{t1:>1<7<t=870>73>343:87?79:\7fp=<6=838p14oj:37:?8?6=3;346s|92494?4|50??6?;6;<;21?7?12wx54?50;0x9<d72;?2707>7;3;<>{t1:=1<7<t=875>73>343:;7?79:\7fp=<5=838p14l=:37:?8?613;346s|92;94?4|50?36?;6;<;2=?7?12wx54:50;0x9<d42;?2707>a;3;<>{t1:k1<7<t=87:>73>343:m7?79:\7fp=<1=838p14l9:37:?8?6l3;346s|92f94?4|50?h6?;6;<;2`?7?12wx54650;0x9<d02;?2707>e;3;<>{t1:o1<7<t=87g>73>343:i7?79:\7fp=<?=838p14l7:37:?8?6n3;346s|92d94?4|50?n6?;6;<;2b?7?12wx54l50;0x9<d>2;?2707=0;3;<>{t1=;1<7<t=87e>73>3439<7?79:\7fp=<e=838p14lm:37:?8?5:3;346s|95094?4|50<:6?;6;<;16?7?12wvn>>l:182>5<7s-8oj7<j5:J04d=O:l90c?;l:188yve283:1>vPl519>6`6=:m;0q~==6;2954}Y;;20Rn;<;_12`>X49j1U?<l4^23b?[55=2T8>95Q3318Z6453W99=6P<219]74`<V:;n7S=>9:\05==:;9h1=5;4}r120?6=:rT8=9522d2923=z{j?96=4={_a66>;5m909h;5rsb74>5<5sWi>;63=e181b3=z{=;>6=4={_621>;5m90:;:5rsb55>5<5sWi<:63=e18042=z{j?>6=4={_a61>;5m909i<5rs230>5<5sW9:?63=e1851>{tk:81<7<t^b11?84b838h=6s|b9394?4|Vk2:70<j0;06f>{tk<<1<7<t^b75?84b838n86s|40c94?4|V=;j70<j0;3;7>{tj181<7<t^c:1?84b838==6s|c4:94?4|Vj?370<j0;0e3>{tjmk1<7<t^cfb?84b8383j6s|c7a94?4|Vj?n70<j0;0e`>{tk?k1<7<t^b7g?84b838mn6s|c7;94?4|Vj?h70<j0;0ee>{tk?21<7<t^b7a?84b838m56s|c6794?4|Vj<=70<j0;132>{tk>>1<7<t^b46?84b839;96s|c6194?4|Vj<?70<j0;130>{tk>81<7<t^b40?84b839;?6s|c6394?4|Vj<970<j0;136>{tk>:1<7<t^b42?84b839;=6s|c7d94?4|Vj<;70<j0;134>{tk?o1<7<t^b7e?84b838mj6s|c7f94?4|Vj?j70<j0;0ea>{tk?=1<7<t^b7:?84b838m46s|41a94?4|V:oh70<j0;35a>{t<9k1<7<t^2ga?84b83;=o6s|41:94?4|V:o270<j0;35e>{t<9=1<7<t^2g;?84b83;=56s|41494?4|V:o<70<j0;35<>{t<9?1<7<t^2g5?84b83;=;6s|41694?4|V:o>70<j0;352>{t<991<7<t^2g7?84b83;=96s|41094?4|V:o870<j0;350>{t<9;1<7<t^2g1?84b83;=?6s|41294?4|V:o:70<j0;356>{t;ol1<7<t^2g3?84b83;==6s|3gf94?4|V:nn70<j0;36b>{t;oi1<7<t^2fg?84b83;>i6s|3g`94?4|V:nh70<j0;36`>{t;ok1<7<t^2fa?84b83;>o6s|3g;94?4|V:nj70<j0;36f>{t;o21<7<t^2f:?84b83;>m6s|3g594?4|V:n370<j0;36=>{t;o<1<7<t^2f4?84b83;>46s|3g794?4|V:n=70<j0;363>{t;o>1<7<t^2f6?84b83;>:6s|40194?4|V:l970<j0;341>{t<881<7<t^2d2?84b83;<86s|40394?4|V:l;70<j0;347>{t<8:1<7<t^2ge?84b83;<>6s|41d94?4|V:on70<j0;345>{t<9o1<7<t^2gg?84b83;<<6s|41f94?4|V:oj70<j0;35b>{t<931<7<t^2fe?84b83;=n6s|3gg94?4|V:n?70<j0;354>{t;o91<7<t^2f0?84b83;>96s|c5494?4|Vj9370<j0;0`3>{tk=>1<7<t^b14?84b838h96s|c5194?4|Vj9=70<j0;0`0>{tk=81<7<t^b16?84b838h?6s|c5d94?4|Vj>;70<j0;0g4>{tk=o1<7<t^b1e?84b838hj6s|c5f94?4|Vj9n70<j0;0`a>{tk=i1<7<t^b1g?84b838hh6s|c5`94?4|Vj9h70<j0;0`g>{tk=k1<7<t^b1a?84b838hn6s|c5;94?4|Vj9j70<j0;0`e>{tk=21<7<t^b1:?84b838h56s|c5594?4|Vj9?70<j0;0`<>{tk=;1<7<t^b10?84b838h>6s|bc194?4|Vkk>70<j0;042>{tjk;1<7<t^cc7?84b838<86s|bc294?4|Vkk870<j0;047>{tjhl1<7<t^cc1?84b838<>6s|bca94?4|Vkko70<j0;04b>{tjkh1<7<t^cc`?84b838<i6s|bcc94?4|Vkki70<j0;04`>{tjk31<7<t^ccb?84b838<o6s|bc:94?4|Vkk270<j0;04f>{tjk=1<7<t^cc;?84b838<m6s|bc494?4|Vkk<70<j0;04=>{tjk?1<7<t^cc5?84b838<46s|bc694?4|Vkk:70<j0;043>{tjho1<7<t^cc3?84b838<=6s|3b`94?4|V:ki70<j0;d6?xu4k00;6?uQ3`c897c72o90q~=l7;296~X4i116>h>5f09~w6e12909wS=n7:?1a5<a82wx?n;50;0xZ6g1348n<7ki;|q0g1<72;qU?l;4=3g3>`c<uz9h?7>52z\0e1=::l:1ii5rs2a1>5<5sW9j?63=e18fg>{t;j;1<7<t^2c1?84b83oi7p}<c183>7}Y;h;01?k?:dc8yv5en3:1>vP<a19>6`6=m01v\7f>lj:181\7f[5>n279i=4j8:\7fp7ge=838pR>7k;<0f4?c13ty8no4?:3y]7<e<5;o;6h;4}r1ae?6=:rT85o522d29a1=z{:h26=4={_1:e>;5m90n?6s|3c:94?4|V:3270<j0;g1?xu4j>0;6?uQ38:897c72l;0q~=m6;296~X41>16>h>5e19~w6d22909wS=66:?1a5<cn2wx?o:50;0xZ6?2348n<7jj;|q0f6<72;qU?4:4=3g3>ab<uz9o>7>52z\0f4=::l:1jn5rs2f2>5<5sW9i<63=e18ef>{t;m:1<7<t^2ce?84b83lj7p}<cg83>7}Y;ho01?k?:g;8yv5dm3:1>vP<ae9>6`6=n11v\7f>mk:181\7f[5fk279i=4i7:\7fp7fe=838pR>o6;<0f4?`13ty8o54?:3y]7<c<5;o;6k<4}r1a`?6=:rT85>522d29a2=z{:h96=4={_1:6>;5m90oo6s|c3:94?4|Vj;j70<j0;0a7>{tk;<1<7<t^b3:?84b838i=6s|c3794?4|Vj;370<j0;0a4>{tk;>1<7<t^b34?84b838jj6s|c2394?4|Vj8970<j0;0ag>{tk::1<7<t^b02?84b838in6s|c3d94?4|Vj8;70<j0;0ae>{tk;o1<7<t^b3e?84b838i56s|c3f94?4|Vj;n70<j0;0a<>{tk;i1<7<t^b3g?84b838i;6s|c3`94?4|Vj;h70<j0;0a2>{tk;k1<7<t^b3a?84b838i96s|c3;94?4|Vj;=70<j0;0a0>{tk;91<7<t^b36?84b838ji6s|c1`94?4|Vklo70<j0;0b0>{tk931<7<t^cd`?84b838j>6s|c1:94?4|Vkli70<j0;0b5>{tk9=1<7<t^cdb?84b838j<6s|c0694?4|Vj:>70<j0;0b`>{tk891<7<t^b27?84b838jo6s|c0094?4|Vj:870<j0;0bf>{tk8;1<7<t^b21?84b838jm6s|c0294?4|Vj::70<j0;0b=>{tk9l1<7<t^b23?84b838j46s|c1g94?4|Vklm70<j0;0b3>{tk9n1<7<t^cdf?84b838j:6s|c1a94?4|Vkl270<j0;0b1>{tk9<1<7<t^cd;?84b8382j6s|bdg94?4|Vko;70<j0;0:1>{tjli1<7<t^cfe?84b8382?6s|bd`94?4|Vknn70<j0;0:6>{tjlk1<7<t^cfg?84b8382=6s|bg594?4|Vko370<j0;0:a>{tjo<1<7<t^cg4?84b8382h6s|bg794?4|Vko=70<j0;0:g>{tjo>1<7<t^cg6?84b8382n6s|bg194?4|Vko?70<j0;0:e>{tjo81<7<t^cg0?84b838256s|bg394?4|Vko970<j0;0:<>{tjo:1<7<t^cg2?84b8382;6s|bdd94?4|Vknh70<j0;0:2>{tjl31<7<t^cfa?84b8382<6s|be294?4|Vki970<j0;0;1>{tjjo1<7<t^ca2?84b8383?6s|bbf94?4|Vki;70<j0;0;6>{tjji1<7<t^c`e?84b8383=6s|be;94?4|Vkij70<j0;0;a>{tjm21<7<t^ca:?84b8383h6s|be594?4|Vki370<j0;0;g>{tjm<1<7<t^ca4?84b8383n6s|be794?4|Vki=70<j0;0;e>{tjm>1<7<t^ca6?84b838356s|be194?4|Vki?70<j0;0;<>{tjm81<7<t^ca0?84b8383;6s|be394?4|Vkhn70<j0;0;2>{tjjh1<7<t^c`g?84b8383<6s|b8494?4|Vk2370<j0;053>{tj0>1<7<t^c:4?84b838=96s|b8194?4|Vk2=70<j0;050>{tj081<7<t^c:6?84b838=?6s|b8d94?4|Vk3;70<j0;044>{tj0o1<7<t^c:e?84b838=j6s|b8f94?4|Vk2n70<j0;05a>{tj0i1<7<t^c:g?84b838=h6s|b8`94?4|Vk2h70<j0;05g>{tj0k1<7<t^c:a?84b838=n6s|b8;94?4|Vk2j70<j0;05e>{tj021<7<t^c::?84b838=56s|b8594?4|Vk2?70<j0;05<>{tj0;1<7<t^c:0?84b838=>6s|23d94?7|5::h6?;l;|\7fp7fd=838pR>om;<4;>6ge3-8n>7<:0:\7fp7f?=838pR>on;<4;>6gf3-8n>7<:1:\7fp7f1=838pR>o7;<4;>6g?3-8n>7<:2:\7fp7f0=838pR>o8;<4;>6g03-8n>7<:3:\7fp7f3=838pR>o9;<4;>6g13-8n>7<:4:\7fp7f2=838pR>o:;<4;>6g23-8n>7?jb:\7fp7f5=838pR>o;;<4;>6g33-8n>7?jc:\7fp7f4=838pR>o<;<4;>6g43-8n>7?jd:\7fp7f7=838pR>o=;<4;>6g53-8n>7?i4:\7fp7f6=838pR>o>;<4;>6g63-8n>7?i8:\7fp7g`=838pR>o?;<4;>6g73-8n>7?ie:\7fp7gc=838pR>7i;<4;>6?a3-8n>7<?9:\7fp7ge=838pR>7k;<4;>6?c3-8n>7<>4:\7fp7gd=838pR>7l;<4;>6?d3-8n>7<>e:\7fp7gg=838pR>7m;<4;>6?e3-8n>7<=6:\7fp7g?=838pR>7n;<4;>6?f3-8n>7<<0:\7fp7g>=838pR>76;<4;>6?>3-8n>7<<b:\7fp7g1=838pR>77;<4;>6??3-8n>7<<f:\7fp7g0=838pR>78;<4;>6?03-8n>7<;0:\7fp7g3=838pR>79;<4;>6?13-8n>7<;1:\7fp7g2=838pR>7:;<4;>6?23-8n>7<;2:\7fp7g5=838pR>7;;<4;>6?33-8n>7<;3:\7fp7a4=838pR>l>;<4;>6d63-8n>7<;4:\7fp7a7=838pR>l?;<4;>6d73-8n>7<;5:\7fp7a6=838pR>oi;<4;>6ga3-8n>7<;6:\7fp7f`=838pR>oj;<4;>6gb3-8n>7<;7:\7fp7fc=838pR>ok;<4;>6gc3-8n>7<;8:\7fp7fb=838pR>ol;<4;>6gd3-8n>7<;9:\7fp7fe=838pR>o6;<4;>6g>3-8n>7<;a:\7fp7f>=838pR>7j;<4;>6?b3-8n>7<;b:\7fp7gb=838pR>7<;<4;>6?43-8n>7<;c:\7fp7g4=838pR>7=;<4;>6?53-8n>7<;d:\7fpg64=838pRn==;<4;>f553-8n>7?je:\7fpg06=838pRn;?;<4;>f373-8n>7?jf:\7fpg04=838pRn;=;<4;>f353-8n>7?i0:\7fpg0>=838pRn;7;<4;>f3?3-8n>7?i1:\7fpg20=838pRn99;<4;>f113-8n>7?i2:\7fp745=838pR>?<;<4;>6743-8n>7?i3:\7fp742=838pR>?;;<4;>6733-8n>7?i5:\7fp05e=838pR>kl;<4;>6cd3-8n>7?i9:\7fp05g=838pR>km;<4;>6ce3-8n>7?ia:\7fp05>=838pR>k6;<4;>6c>3-8n>7?ib:\7fp051=838pR>k7;<4;>6c?3-8n>7?ic:\7fp050=838pR>k8;<4;>6c03-8n>7?id:\7fp053=838pR>k9;<4;>6c13-8n>7?if:\7fp052=838pR>k:;<4;>6c23-8n>7<?0:\7fp055=838pR>k;;<4;>6c33-8n>7<?1:\7fp054=838pR>k<;<4;>6c43-8n>7<?2:\7fp057=838pR>k=;<4;>6c53-8n>7<?3:\7fp056=838pR>k>;<4;>6c63-8n>7<?4:\7fp7c`=838pR>k?;<4;>6c73-8n>7<?5:\7fp7cb=838pR>jj;<4;>6bb3-8n>7<?6:\7fp7ce=838pR>jk;<4;>6bc3-8n>7<?7:\7fp7cd=838pR>jl;<4;>6bd3-8n>7<?8:\7fp7cg=838pR>jm;<4;>6be3-8n>7<?a:\7fp7c?=838pR>jn;<4;>6bf3-8n>7<?b:\7fp7c>=838pR>j6;<4;>6b>3-8n>7<?c:\7fp7c1=838pR>j7;<4;>6b?3-8n>7<?d:\7fp7c0=838pR>j8;<4;>6b03-8n>7<?e:\7fp7c3=838pR>j9;<4;>6b13-8n>7<?f:\7fp7c2=838pR>j:;<4;>6b23-8n>7<>0:\7fp045=838pR>h=;<4;>6`53-8n>7<>1:\7fp044=838pR>h>;<4;>6`63-8n>7<>2:\7fp047=838pR>h?;<4;>6`73-8n>7<>3:\7fp046=838pR>ki;<4;>6ca3-8n>7<>5:\7fp05`=838pR>kj;<4;>6cb3-8n>7<>6:\7fp05c=838pR>kk;<4;>6cc3-8n>7<>7:\7fp05b=838pR>kn;<4;>6cf3-8n>7<>8:\7fp05?=838pR>ji;<4;>6ba3-8n>7<>9:\7fp7cc=838pR>j;;<4;>6b33-8n>7<>a:\7fp7c5=838pR>j<;<4;>6b43-8n>7<>b:\7fp043=838pR9?:;<4;>1723-8n>7<>c:\7fp04g=838pR9?n;<4;>17f3-8n>7<>d:\7fpg10=838pRn=7;<4;>f5?3-8n>7<>f:\7fpg12=838pRn=8;<4;>f503-8n>7<=0:\7fpg15=838pRn=9;<4;>f513-8n>7<=1:\7fpg14=838pRn=:;<4;>f523-8n>7<=2:\7fpg1`=838pRn:?;<4;>f273-8n>7<=3:\7fpg1c=838pRn=i;<4;>f5a3-8n>7<=4:\7fpg1b=838pRn=j;<4;>f5b3-8n>7<=5:\7fpg1e=838pRn=k;<4;>f5c3-8n>7<=7:\7fpg1d=838pRn=l;<4;>f5d3-8n>7<=8:\7fpg1g=838pRn=m;<4;>f5e3-8n>7<=9:\7fpg1?=838pRn=n;<4;>f5f3-8n>7<=a:\7fpg1>=838pRn=6;<4;>f5>3-8n>7<=b:\7fpg11=838pRn=;;<4;>f533-8n>7<=c:\7fpg17=838pRn=<;<4;>f543-8n>7<=d:\7fpg3e=838pRn;j;<4;>f3b3-8n>7<=e:\7fpg3g=838pRn;k;<4;>f3c3-8n>7<<1:\7fpg3?=838pRn;l;<4;>f3d3-8n>7<<2:\7fpg3>=838pRn;m;<4;>f3e3-8n>7<<3:\7fpg23=838pRn89;<4;>f013-8n>7<<4:\7fpg22=838pRn8:;<4;>f023-8n>7<<5:\7fpg25=838pRn8;;<4;>f033-8n>7<<6:\7fpg24=838pRn8<;<4;>f043-8n>7<<7:\7fpg27=838pRn8=;<4;>f053-8n>7<<8:\7fpg26=838pRn8>;<4;>f063-8n>7<<9:\7fpg3`=838pRn8?;<4;>f073-8n>7<<a:\7fpg3c=838pRn;i;<4;>f3a3-8n>7<<c:\7fpg3b=838pRn;n;<4;>f3f3-8n>7<<d:\7fpg31=838pRn;6;<4;>f3>3-8n>7<<e:\7f~j670=3:1?vF=e29~j670>3:1?vF=e29~j670?3:1?vF=e29~j67003:1?vF=e29~j67013:1?vF=e29~j670i3:1?vF=e29~j670j3:1?vF=e29~j670k3:1?vF=e29~j670l3:1?vF=e29~j670m3:1?vF=e29~j670n3:1?vF=e29~j67?83:1?vF=e29~j67?93:1?vF=e29~j67?:3:1?vF=e29~j67?;3:1?vF=e29~j67?<3:1?vF=e29~j67?=3:1?vF=e29~j67?>3:1?vF=e29~j67??3:1?vF=e29~j67?03:1?vF=e29~j67?13:1?vF=e29~j67?i3:1?vF=e29~j67?j3:1?vF=e29~j67?k3:1?vF=e29~j67?l3:1?vF=e29~j67?m3:1?vF=e29~j67?n3:1?vF=e29~j67>83:1?vF=e29~j67>93:1?vF=e29~j67>:3:1?vF=e29~j67>;3:1?vF=e29~j67><3:1?vF=e29~j67>=3:1?vF=e29~j67>>3:1?vF=e29~j67>?3:1?vF=e29~j67>03:1?vF=e29~j67>13:1?vF=e29~j67>i3:1?vF=e29~j67>j3:1?vF=e29~j67>k3:1?vF=e29~j67>l3:1?vF=e29~j67>m3:1?vF=e29~j67>n3:1?vF=e29~j67f83:1?vF=e29~j67f93:1?vF=e29~j67f:3:1?vF=e29~j67f;3:1?vF=e29~j67f<3:1?vF=e29~j67f=3:1?vF=e29~j67f>3:1?vF=e29~j67f?3:1?vF=e29~j67f03:1?vF=e29~j67f13:1?vF=e29~j67fi3:1?vF=e29~j67fj3:1?vF=e29~j67fk3:1?vF=e29~j67fl3:1?vF=e29~j67fm3:1?vF=e29~j67fn3:1?vF=e29~j67e83:1?vF=e29~j67e93:1?vF=e29~j67e:3:1?vF=e29~j67e;3:1?vF=e29~j67e<3:1?vF=e29~j67e=3:1?vF=e29~j67e>3:1?vF=e29~j67e?3:1?vF=e29~j67e03:1?vF=e29~j67e13:1?vF=e29~j67ei3:1?vF=e29~j67ej3:1?vF=e29~j67ek3:1?vF=e29~j67el3:1?vF=e29~j67em3:1?vF=e29~j67en3:1?vF=e29~j67d83:1?vF=e29~j67d93:1?vF=e29~j67d:3:1?vF=e29~j67d;3:1?vF=e29~j67d<3:1?vF=e29~j67d=3:1?vF=e29~j67d>3:1?vF=e29~j67d?3:1?vF=e29~j67d03:1?vF=e29~j67d13:1?vF=e29~j67di3:1?vF=e29~j67dj3:1?vF=e29~j67dk3:1?vF=e29~j67dl3:1?vF=e29~j67dm3:1?vF=e29~j67dn3:1?vF=e29~j67c83:1?vF=e29~j67c93:1?vF=e29~j67c:3:1?vF=e29~j67c;3:1?vF=e29~j67c<3:1?vF=e29~j67c=3:1?vF=e29~j67c>3:1?vF=e29~j67c?3:1?vF=e29~j67c03:1?vF=e29~j67c13:1?vF=e29~j66e=3:1=vF=e29~j66em3:1;vF=e29~j67783:1=vF=e29~j67793:1=vF=e29~j677:3:1=vF=e29~j677;3:1=vF=e29~j677<3:1=vF=e29~j677=3:1=vF=e29~j677>3:1=vF=e29~j677?3:1=vF=e29~j67703:1=vF=e29~j67713:1=vF=e29~j677i3:1=vF=e29~j677j3:1=vF=e29~j677k3:1=vF=e29~j677l3:1=vF=e29~j675m3:1=vF=e29~j675n3:1=vF=e29~j67483:1=vF=e29~j67493:1=vF=e29~j674:3:1=vF=e29~j674;3:1=vF=e29~j674<3:1=vF=e29~j674=3:1=vF=e29~j674>3:1=vF=e29~j674?3:1=vF=e29~j67403:1=vF=e29~j67413:1=vF=e29~j674i3:1=vF=e29~j674j3:1=vF=e29~j674k3:1=vF=e29~j674l3:1=vF=e29~j674m3:1=vF=e29~j674n3:1=vF=e29~j67383:1=vF=e29~j67393:1=vF=e29~j673:3:1=vF=e29~j673;3:1=vF=e29~j673<3:1=vF=e29~j673=3:1=vF=e29~j673>3:1=vF=e29~j673?3:1=vF=e29~j67303:1=vF=e29~j67313:1=vF=e29~j673i3:1=vF=e29~j673j3:1=vF=e29~j673k3:1=vF=e29~j673l3:1=vF=e29~j673m3:1=vF=e29~j673n3:1=vF=e29~j67283:1=vF=e29~j67293:1=vF=e29~j672:3:1=vF=e29~j672;3:1=vF=e29~j672<3:1=vF=e29~j672=3:1=vF=e29~j672>3:1=vF=e29~j672?3:1=vF=e29~j67203:1=vF=e29~j67213:1=vF=e29~j672i3:1=vF=e29~j672j3:1=vF=e29~j672k3:1=vF=e29~j672l3:1=vF=e29~j672m3:1=vF=e29~j672n3:1=vF=e29~j67183:1=vF=e29~j67193:1=vF=e29~j671:3:1=vF=e29~j671;3:1=vF=e29~j671<3:1=vF=e29~j671=3:1=vF=e29~j671>3:1=vF=e29~j671?3:1=vF=e29~j67103:1=vF=e29~j67113:1=vF=e29~j671i3:1=vF=e29~j671j3:1=vF=e29~j671k3:1=vF=e29~j671l3:1=vF=e29~j671m3:1=vF=e29~j671n3:1=vF=e29~j67083:1=vF=e29~j67093:1=vF=e29~j670:3:1=vF=e29~j670;3:1=vF=e29~j7de?3:1=vF=e29~j7del3:1=vF=e29~j7dd;3:1=vF=e29~j7dd<3:1=vF=e29~j7dd=3:1=vF=e29~j7dd>3:1=vF=e29~j7dd?3:1=vF=e29~j7dd03:1=vF=e29~j7dd13:1=vF=e29~j7ddi3:1=vF=e29~j7ddj3:1=vF=e29~j7ddk3:1=vF=e29~j7ddl3:1=vF=e29~j7ddm3:1=vF=e29~j7ddn3:1=vF=e29~j7dc83:1=vF=e29~j7dc93:1=vF=e29~j7dc:3:1=vF=e29~j7dc;3:1=vF=e29~j7dc<3:1=vF=e29~j7dc=3:1=vF=e29~j7dc>3:1=vF=e29~j7dc?3:1=vF=e29~j7dc03:1=vF=e29~j7dc13:1=vF=e29~j7dci3:1=vF=e29~j7dcj3:1=vF=e29~j7dck3:1=vF=e29~j7dcl3:1=vF=e29~j7dcm3:1=vF=e29~j7dcn3:1=vF=e29~j7db83:1=vF=e29~j7db93:1=vF=e29~j7db:3:1=vF=e29~j7db;3:1=vF=e29~j7db<3:1=vF=e29~j7db=3:1=vF=e29~j7db>3:1=vF=e29~j7db?3:1=vF=e29~j7db03:1=vF=e29~j7db13:1=vF=e29~j7dbi3:1=vF=e29~j7dbj3:1=vF=e29~j7dbk3:1=vF=e29~j7dbl3:1=vF=e29~j7dbm3:1=vF=e29~j7dbn3:1=vF=e29~j7da83:1=vF=e29~j7da93:1=vF=e29~j7da:3:1=vF=e29~j7da;3:1=vF=e29~j7da<3:1=vF=e29~j7da=3:1=vF=e29~j7da>3:1=vF=e29~j7da?3:1=vF=e29~j7da03:1=vF=e29~j7da13:1=vF=e29~j7dai3:1=vF=e29~j7daj3:1=vF=e29~j7dak3:1=vF=e29~j7dal3:1=vF=e29~j7dam3:1=vF=e29~j7dan3:1=vF=e29~j7e783:1=vF=e29~j7e793:1=vF=e29~j7e7:3:1=vF=e29~j7e7;3:1=vF=e29~j7e7<3:1=vF=e29~j7e7=3:1=vF=e29~j7e7>3:1=vF=e29~j7e7?3:1=vF=e29~j7e703:1=vF=e29~j7e6?3:1=vF=e29~j7e603:1=vF=e29~j7e613:1=vF=e29~j7e6i3:1=vF=e29~j7e6j3:1=vF=e29~j7e6k3:1=vF=e29~j7e6l3:1=vF=e29~j7e6m3:1=vF=e29~j7e6n3:1=vF=e29~j7e583:1=vF=e29~j7e593:1=vF=e29~j7e5:3:1=vF=e29~j7e5;3:1=vF=e29~j7e5<3:1=vF=e29~j7e5=3:1=vF=e29~j7e5>3:1=vF=e29~j7e5?3:1=vF=e29~j7e503:1=vF=e29~j7e513:1=vF=e29~j7e5i3:1=vF=e29~j7e5j3:1=vF=e29~j7e5k3:1=vF=e29~j7e5l3:1=vF=e29~j7e5m3:1=vF=e29~j7e5n3:1=vF=e29~j7e483:1=vF=e29~j7e493:1=vF=e29~j7e4:3:1=vF=e29~j7e?93:1=vF=e29~j7e?:3:1=vF=e29~j7e?;3:1=vF=e29~j7e?<3:1=vF=e29~j7e?=3:1=vF=e29~j7e?>3:1=vF=e29~j7e??3:1=vF=e29~j7e?03:1=vF=e29~j7e?13:1=vF=e29~j7e?i3:1=vF=e29~j7e?j3:1=vF=e29~j7e?k3:1=vF=e29~j7e?l3:1=vF=e29~j7e?m3:1=vF=e29~j7e?n3:1=vF=e29~j7e>83:1=vF=e29~j7e>93:1=vF=e29~j7e>:3:1=vF=e29~j7e>;3:1=vF=e29~j7e><3:1=vF=e29~j7e>=3:1=vF=e29~j7e>>3:1=vF=e29~j7e>?3:1=vF=e29~j7e>03:1=vF=e29~j7e>13:1=vF=e29~j7e>i3:1=vF=e29~j7e>j3:1=vF=e29~j7e>k3:1=vF=e29~j7e>l3:1=vF=e29~j7e>m3:1=vF=e29~j7e>n3:1=vF=e29~j7ef83:1=vF=e29~j7ef93:1=vF=e29~j7ef:3:1=vF=e29~j7ef;3:1=vF=e29~j7ef<3:1=vF=e29~j7ef=3:1=vF=e29~j7ef>3:1=vF=e29~j7ef?3:1=vF=e29~j7ef03:1=vF=e29~j7ef13:1=vF=e29~j7efi3:1=vF=e29~j7efj3:1=vF=e29~j7efk3:1=vF=e29~j7efl3:1=vF=e29~j7efm3:1=vF=e29~j7efn3:1=vF=e29~j7ee83:1=vF=e29~j7ee93:1=vF=e29~j7ee:3:1=vF=e29~j7ee;3:1=vF=e29~j7ee<3:1=vF=e29~j7ee=3:1=vF=e29~j7ee>3:1=vF=e29~j7ee?3:1=vF=e29~j7ee03:1=vF=e29~j7ee13:1=vF=e29~j7eei3:1=vF=e29~j7eej3:1=vF=e29~j7eek3:1=vF=e29~j7eel3:1=vF=e29~j7eem3:1=vF=e29~j7een3:1=vF=e29~j7ed83:1=vF=e29~j7ed93:1=vF=e29~j7ed:3:1=vF=e29~j7ed;3:1=vF=e29~j7ed<3:1=vF=e29~j7ed=3:1=vF=e29~j7ed>3:1=vF=e29~j7ed?3:1=vF=e29~j7ed03:1=vF=e29~j7ed13:1=vF=e29~j7edi3:1=vF=e29~j7edj3:1=vF=e29~j7edk3:1=vF=e29~j7edl3:1=vF=e29~j7edm3:1=vF=e29~j7edn3:1=vF=e29~j7ec83:1=vF=e29~j7ec93:1=vF=e29~j7ec:3:1=vF=e29~j7ec;3:1=vF=e29~j7ec<3:1=vF=e29~j7ec=3:1=vF=e29~j7ec>3:1=vF=e29~j7ec?3:1=vF=e29~j7ec03:1=vF=e29~j7ec13:1=vF=e29~j7eci3:1=vF=e29~j7ecj3:1=vF=e29~j7eck3:1=vF=e29~j7ecl3:1=vF=e29~j7ecm3:1=vF=e29~j7ecn3:1=vF=e29~j7eb83:1=vF=e29~j7eb93:1=vF=e29~j7eb:3:1=vF=e29~j7eb;3:1=vF=e29~j7eb<3:1=vF=e29~j7eb=3:1=vF=e29~j7eb>3:1=vF=e29~j7eb?3:1=vF=e29~j7eb03:1=vF=e29~j7eb13:1=vF=e29~j7ebi3:1=vF=e29~j7ebj3:1=vF=e29~j7ebk3:1=vF=e29~j7ebl3:1=vF=e29~j7ebm3:1=vF=e29~j7ebn3:1=vF=e29~j7ea83:1=vF=e29~j7ea93:1=vF=e29~j7ea:3:1=vF=e29~j7ea;3:1=vF=e29~j7ea<3:1=vF=e29~j7ea=3:1=vF=e29~j7ea>3:1=vF=e29~j7ea?3:1=vF=e29~j7ea03:1=vF=e29~j7ea13:1=vF=e29~j7eai3:1=vF=e29~j7eaj3:1=vF=e29~j7eak3:1=vF=e29~j7eal3:1=vF=e29~j7eam3:1=vF=e29~j7ean3:1=vF=e29~j7b783:1=vF=e29~j7b793:1=vF=e29~j7b7:3:1=vF=e29~j7b7;3:1=vF=e29~j7b7<3:1=vF=e29~j7b7=3:1=vF=e29~j7b7>3:1=vF=e29~j7b7?3:1=vF=e29~j7b703:1=vF=e29~j7b713:1=vF=e29~j7b7i3:1=vF=e29~j7b7j3:1=vF=e29~j7b7k3:1=vF=e29~j7b7l3:1=vF=e29~j7b7m3:1=vF=e29~j7b7n3:1=vF=e29~j7b683:1=vF=e29~j7b693:1=vF=e29~j7b6:3:1=vF=e29~j7b6;3:1=vF=e29~j7b6<3:1=vF=e29~j7b6=3:1=vF=e29~j7b6>3:1=vF=e29~j7b6?3:1=vF=e29~j7b603:1=vF=e29~j7b613:1=vF=e29~j7b6i3:1=vF=e29~j7b6j3:1=vF=e29~j7b6k3:1=vF=e29~j7b6l3:1=vF=e29~j7b6m3:1=vF=e29~j7b6n3:1=vF=e29~j7b583:1=vF=e29~j7b593:1=vF=e29~j7b5:3:1=vF=e29~j7b5;3:1=vF=e29~j7b5<3:1=vF=e29~j7b5=3:1=vF=e29~j7b5>3:1=vF=e29~j7b5?3:1=vF=e29~j7b503:1=vF=e29~j7b513:1=vF=e29~j7b5i3:1=vF=e29~j7b5j3:1=vF=e29~j7b5k3:1=vF=e29~j7b5l3:1=vF=e29~j7b5m3:1=vF=e29~j7b5n3:1=vF=e29~j7b483:1=vF=e29~j7b493:1=vF=e29~j7b4:3:1=vF=e29~j7b4;3:1=vF=e29~j7b4<3:1=vF=e29~j7b4=3:1=vF=e29~j7b4>3:1=vF=e29~j7b4?3:1=vF=e29~j7b403:1=vF=e29~j7b413:1=vF=e29~j7b4i3:1=vF=e29~j7b4j3:1=vF=e29~j7b4k3:1=vF=e29~j7b4l3:1=vF=e29~j7b4m3:1=vF=e29~j7b4n3:1=vF=e29~j7b383:1=vF=e29~j7b393:1=vF=e29~j7b3:3:1=vF=e29~j7b3;3:1=vF=e29~j7b3<3:1=vF=e29~j7b3=3:1=vF=e29~j7b3>3:1=vF=e29~j7b3?3:1=vF=e29~j7b303:1=vF=e29~j7b313:1=vF=e29~j7b3i3:1=vF=e29~j7b3j3:1=vF=e29~j7b3k3:1=vF=e29~j7b3l3:1=vF=e29~j7b3m3:1=vF=e29~j7b3n3:1=vF=e29~j7b283:1=vF=e29~j7b293:1=vF=e29~j7b2:3:1=vF=e29~j7b2;3:1=vF=e29~j7b2<3:1=vF=e29~j7b2=3:1=vF=e29~j7b2>3:1=vF=e29~j7b2?3:1=vF=e29~j7b203:1=vF=e29~j7b213:1=vF=e29~j7b2i3:1=vF=e29~j7b2j3:1=vF=e29~j7b2k3:1=vF=e29~j7b2l3:1=vF=e29~j7b2m3:1=vF=e29~j7b2n3:1=vF=e29~j7b183:1=vF=e29~j7b193:1=vF=e29~j7b1:3:1=vF=e29~j7b1;3:1=vF=e29~j7b1<3:1=vF=e29~j7b1=3:1=vF=e29~j7b1>3:1=vF=e29~j7b1?3:1=vF=e29~j7b103:1=vF=e29~j7b113:1=vF=e29~j7b1i3:1=vF=e29~j7b1j3:1=vF=e29~j7b1k3:1=vF=e29~j7b1l3:1=vF=e29~j7b1m3:1=vF=e29~j7b1n3:1=vF=e29~j7b083:1=vF=e29~j7b093:1=vF=e29~j7b0:3:1=vF=e29~j7b0;3:1=vF=e29~j7b0<3:1=vF=e29~j7b0=3:1=vF=e29~j7b0>3:1=vF=e29~j7b0?3:1=vF=e29~j7b003:1=vF=e29~j7b013:1=vF=e29~j7b0i3:1=vF=e29~j7b0j3:1=vF=e29~j7b0k3:1=vF=e29~j7b0l3:1=vF=e29~j7b0m3:1=vF=e29~j7b0n3:1=vF=e29~j7b?83:1=vF=e29~j7b?93:1=vF=e29~j7b?:3:1=vF=e29~j7b?;3:1=vF=e29~j7b?<3:1=vF=e29~j7b?=3:1=vF=e29~j7b?>3:1=vF=e29~j7b??3:1=vF=e29~j7b?03:1=vF=e29~j7b?13:1=vF=e29~j7b?i3:1=vF=e29~j7b?j3:1=vF=e29~j7b?k3:1=vF=e29~j7b?l3:1=vF=e29~j7b?m3:1=vF=e29~j7b?n3:1=vF=e29~j7b>83:1=vF=e29~j7b>93:1=vF=e29~j7b>:3:1=vF=e29~j7b>;3:1=vF=e29~j7b><3:1=vF=e29~j7b>=3:1=vF=e29~j7b>>3:1=vF=e29~j7b>?3:1=vF=e29~j7b>03:1=vF=e29~j7b>13:1=vF=e29~j7b>i3:1=vF=e29~j7b>j3:1=vF=e29~j7b>k3:1=vF=e29~j7b>l3:1=vF=e29~j7b>m3:1=vF=e29~j7b>n3:1=vF=e29~j7bf83:1=vF=e29~j7bf93:1=vF=e29~j7bf:3:1=vF=e29~j7bf;3:1=vF=e29~j7bf<3:1=vF=e29~j7bf=3:1=vF=e29~j7bf>3:1=vF=e29~j7bf?3:1=vF=e29~j7bf03:1=vF=e29~j7bf13:1=vF=e29~j7bfi3:1=vF=e29~j7bfj3:1=vF=e29~j7bfk3:1=vF=e29~j7bfl3:1=vF=e29~j7bfm3:1=vF=e29~j7bfn3:1=vF=e29~j7be83:1=vF=e29~j7be93:1=vF=e29~j7be:3:1=vF=e29~j7be;3:1=vF=e29~j7be<3:1=vF=e29~j7be=3:1=vF=e29~j7be>3:1=vF=e29~j7be?3:1=vF=e29~j7be03:1=vF=e29~j7be13:1=vF=e29~j7bei3:1=vF=e29~j7bej3:1=vF=e29~j7bek3:1=vF=e29~j7bel3:1=vF=e29~j7bem3:1=vF=e29~j7ben3:1=vF=e29~j7bd83:1=vF=e29~j7bd93:1=vF=e29~j7bd:3:1=vF=e29~j7bd;3:1=vF=e29~j7bd<3:1=vF=e29~j7bd=3:1=vF=e29~j7bd>3:1=vF=e29~j7bd?3:1=vF=e29~j7bd03:1=vF=e29~j7bd13:1=vF=e29~j7bdi3:1=vF=e29~j7bdj3:1=vF=e29~j7bdk3:1=vF=e29~j7bdl3:1=vF=e29~j7bdm3:1=vF=e29~j7bdn3:1=vF=e29~j7bc83:1=vF=e29~j7bc93:1=vF=e29~j7bc:3:1=vF=e29~j7bc;3:1=vF=e29~j7bc<3:1=vF=e29~j7bc=3:1=vF=e29~j7bc>3:1=vF=e29~j7bc?3:1=vF=e29~j7bc03:1=vF=e29~j7bc13:1=vF=e29~j7bci3:1=vF=e29~j7bcj3:1=vF=e29~j7bck3:1=vF=e29~j7bcl3:1=vF=e29~j7bcm3:1=vF=e29~j7bcn3:1=vF=e29~j7bb83:1=vF=e29~j7bb93:1=vF=e29~j7bb:3:1=vF=e29~j7bb;3:1=vF=e29~j7bb<3:1=vF=e29~j7bb=3:1=vF=e29~j7bb>3:1=vF=e29~j7bb?3:1=vF=e29~j7bb03:1=vF=e29~j7bb13:1=vF=e29~j7bbi3:1=vF=e29~j7bbj3:1=vF=e29~j7bbk3:1=vF=e29~j7bbl3:1=vF=e29~j7bbm3:1=vF=e29~j7bbn3:1=vF=e29~j7ba83:1=vF=e29~j7ba93:1=vF=e29~j7ba:3:1=vF=e29~j7ba;3:1=vF=e29~j7ba<3:1=vF=e29~j7ba=3:1=vF=e29~j7ba>3:1=vF=e29~j7ba?3:1=vF=e29~j7ba03:1=vF=e29~j7ba13:1=vF=e29~j7bai3:1=vF=e29~j7baj3:1=vF=e29~j7bak3:1=vF=e29~j7bal3:1=vF=e29~j7bam3:1=vF=e29~j7ban3:1=vF=e29~j7c783:1=vF=e29~j7c793:1=vF=e29~j7c7:3:1=vF=e29~j7c7;3:1=vF=e29~j7c7<3:1=vF=e29~j7c7=3:1=vF=e29~j7c7>3:1=vF=e29~j7c7?3:1=vF=e29~j7c703:1=vF=e29~j7c713:1=vF=e29~j7c7i3:1=vF=e29~j7c7j3:1=vF=e29~j7c7k3:1=vF=e29~j7c7l3:1=vF=e29~j7c7m3:1=vF=e29~j7c7n3:1=vF=e29~j7c683:1=vF=e29~j7c693:1=vF=e29~j7c6:3:1=vF=e29~j7c6;3:1=vF=e29~j7c6<3:1=vF=e29~j7c6=3:1=vF=e29~j7c6>3:1=vF=e29~j7c6?3:1=vF=e29~j7c603:1=vF=e29~j7c613:1=vF=e29~j7c6i3:1=vF=e29~j7c6j3:1=vF=e29~j7c6k3:1=vF=e29~j7c6l3:1=vF=e29~j7c6m3:1=vF=e29~j7c6n3:1=vF=e29~j7c583:1=vF=e29~j7c593:1=vF=e29~j7c5:3:1=vF=e29~j7c5;3:1=vF=e29~j7c5<3:1=vF=e29~j7c5=3:1=vF=e29~j7c5>3:1=vF=e29~j7c5?3:1=vF=e29~j7c503:1=vF=e29~j7c513:1=vF=e29~j7c5i3:1=vF=e29~j7c5j3:1=vF=e29~j7c5k3:1=vF=e29~j7c5l3:1=vF=e29~j7c5m3:1=vF=e29~j7c5n3:1=vF=e29~j7c483:1=vF=e29~j7c493:1=vF=e29~j7c4:3:1=vF=e29~j7c4;3:1=vF=e29~j7c4<3:1=vF=e29~j7c4=3:1=vF=e29~j7c4>3:1=vF=e29~j7c4?3:1=vF=e29~j7c403:1=vF=e29~j7c413:1=vF=e29~j7c4i3:1=vF=e29~j7c4j3:1=vF=e29~j7c4k3:1=vF=e29~j7c4l3:1=vF=e29~j7c4m3:1=vF=e29~j7c4n3:1=vF=e29~j7c383:1=vF=e29~j7c393:1=vF=e29~j7c3:3:1=vF=e29~j7c3;3:1=vF=e29~j7c3<3:1=vF=e29~j7c3=3:1=vF=e29~j7c3>3:1=vF=e29~j7c3?3:1=vF=e29~j7c303:1=vF=e29~j7c313:1=vF=e29~j7c3i3:1=vF=e29~j7c3j3:1=vF=e29~j7c3k3:1=vF=e29~j7c3l3:1=vF=e29~j7c3m3:1=vF=e29~j7c3n3:1=vF=e29~j7c283:1=vF=e29~j7c293:1=vF=e29~j7c2:3:1=vF=e29~j7c2;3:1=vF=e29~j7c2<3:1=vF=e29~j7c2=3:1=vF=e29~j7c2>3:1=vF=e29~j7c2?3:1=vF=e29~j7c203:1=vF=e29~j7c213:1=vF=e29~j7c2i3:1=vF=e29~j7c2j3:1=vF=e29~j7c2k3:1=vF=e29~j7c2l3:1=vF=e29~j7c2m3:1=vF=e29~j7c2n3:1=vF=e29~j7c183:1=vF=e29~j7c193:1=vF=e29~j7c1:3:1=vF=e29~j7c1;3:1=vF=e29~j7c1<3:1=vF=e29~j7c1=3:1=vF=e29~j7c1>3:1=vF=e29~j7c1?3:1=vF=e29~j7c103:1=vF=e29~j7c113:1=vF=e29~j7c1i3:1=vF=e29~j7c1j3:1=vF=e29~j7c1k3:1=vF=e29~j7c1l3:1=vF=e29~j7c1m3:1=vF=e29~j7c1n3:1=vF=e29~j7c083:1=vF=e29~j7c093:1=vF=e29~j7c0:3:1=vF=e29~j7c0;3:1=vF=e29~j7c0<3:1=vF=e29~j7c0=3:1=vF=e29~j7c0>3:1=vF=e29~j7c0?3:1=vF=e29~j7c003:1=vF=e29~j7c013:1=vF=e29~j7c0i3:1=vF=e29~j7c0j3:1=vF=e29~j7c0k3:1=vF=e29~j7c0l3:1=vF=e29~j7c0m3:1=vF=e29~j7c0n3:1=vF=e29~j7c?83:1=vF=e29~j7c?93:1=vF=e29~j7c?:3:1=vF=e29~j7c?;3:1=vF=e29~j7c?<3:1=vF=e29~j7c?=3:1=vF=e29~j7c?>3:1=vF=e29~j7c??3:1=vF=e29~j7c?03:1=vF=e29~j7c?13:1=vF=e29~j7c?i3:1=vF=e29~j7c?j3:1=vF=e29~j7c?k3:1=vF=e29~j7c?l3:1=vF=e29~j7c?m3:1=vF=e29~j7c?n3:1=vF=e29~j7c>83:1=vF=e29~j7c>93:1=vF=e29~j7c>:3:1=vF=e29~j7c>;3:1=vF=e29~j7c><3:1=vF=e29~j7c>=3:1=vF=e29~j7c>>3:1=vF=e29~j7c>?3:1=vF=e29~j7c>03:1=vF=e29~j7c>13:1=vF=e29~j7c>i3:1=vF=e29~j7c>j3:1=vF=e29~j7c>k3:1=vF=e29~j7c>l3:1=vF=e29~j7c>m3:1=vF=e29~j7c>n3:1=vF=e29~j7cf83:1=vF=e29~j7cf93:1=vF=e29~j7cf:3:1=vF=e29~j7cf;3:1=vF=e29~j7cf<3:1=vF=e29~j7cf=3:1=vF=e29~j7cf>3:1=vF=e29~j7cf?3:1=vF=e29~j7cf03:1=vF=e29~j7cf13:1=vF=e29~j7cfi3:1=vF=e29~j7cfj3:1=vF=e29~j7cfk3:1=vF=e29~j7cfl3:1=vF=e29~j7cfm3:1=vF=e29~j7cfn3:1=vF=e29~j7ce83:1=vF=e29~j7ce93:1=vF=e29~j7ce:3:1=vF=e29~j7ce;3:1=vF=e29~j7ce<3:1=vF=e29~j7ce=3:1=vF=e29~j7ce>3:1=vF=e29~j7ce?3:1=vF=e29~j7ce03:1=vF=e29~j7ce13:1=vF=e29~j7cei3:1=vF=e29~j7cej3:1=vF=e29~j7cek3:1=vF=e29~j7cel3:1=vF=e29~j7cem3:1=vF=e29~j7cen3:1=vF=e29~j7cd83:1=vF=e29~j7cd93:1=vF=e29~j7cd:3:1=vF=e29~j7cd;3:1=vF=e29~j7cd<3:1=vF=e29~j7cd=3:1=vF=e29~j7cd>3:1=vF=e29~j7cd?3:1=vF=e29~j7cd03:1=vF=e29~j7cd13:1=vF=e29~j7cdi3:1=vF=e29~j7cdj3:1=vF=e29~j7cdk3:1=vF=e29~j7cdl3:1=vF=e29~j7cdm3:1=vF=e29~j7cdn3:1=vF=e29~j7cc83:1=vF=e29~j7cc93:1=vF=e29~j7cc:3:1=vF=e29~j7cc;3:1=vF=e29~j7cc<3:1=vF=e29~j7cc=3:1=vF=e29~j7cc>3:1=vF=e29~j7cc?3:1=vF=e29~j7cc03:1=vF=e29~j7cc13:1=vF=e29~j7cci3:1=vF=e29~j7ccj3:1=vF=e29~j7cck3:1=vF=e29~j7ccl3:1=vF=e29~j7ccm3:1=vF=e29~j7ccn3:1=vF=e29~j7cb83:1=vF=e29~j7cb93:1=vF=e29~j7cb:3:1=vF=e29~j7cb;3:1=vF=e29~j7cb<3:1=vF=e29~j7cb=3:1=vF=e29~j7cb>3:1=vF=e29~j7cb?3:1=vF=e29~j7cb03:1=vF=e29~j7cb13:1=vF=e29~j7cbi3:1=vF=e29~j7cbj3:1=vF=e29~j7cbk3:1=vF=e29~j7cbl3:1=vF=e29~j7cbm3:1=vF=e29~j7cbn3:1=vF=e29~j7ca83:1=vF=e29~j7ca93:1=vF=e29~j7ca:3:1=vF=e29~j7ca;3:1=vF=e29~j7ca<3:1=vF=e29~j7ca=3:1=vF=e29~j7ca>3:1=vF=e29~j7ca?3:1=vF=e29~j7ca03:1=vF=e29~j7ca13:1=vF=e29~j7cai3:1=vF=e29~j7caj3:1=vF=e29~j7cak3:1=vF=e29~j7cal3:1=vF=e29~j7cam3:1=vF=e29~j7can3:1=vF=e29~j7`783:1=vF=e29~j7`793:1=vF=e29~j7`7:3:1=vF=e29~j7`7;3:1=vF=e29~j7`7<3:1=vF=e29~j7`7=3:1=vF=e29~j7`7>3:1=vF=e29~j7`7?3:1=vF=e29~j7`703:1=vF=e29~j7`713:1=vF=e29~j7`7i3:1=vF=e29~j7`7j3:1=vF=e29~j7`7k3:1=vF=e29~j7`7l3:1=vF=e29~j7`7m3:1=vF=e29~j7`7n3:1=vF=e29~j7`683:1=vF=e29~j7`693:1=vF=e29~j7`6:3:1=vF=e29~j7`6;3:1=vF=e29~j7`6<3:1=vF=e29~j7`6=3:1=vF=e29~j7`6>3:1=vF=e29~j7`6?3:1=vF=e29~j7`603:1=vF=e29~j7`613:1=vF=e29~j7`6i3:1=vF=e29~j7`6j3:1=vF=e29~j7`6k3:1=vF=e29~j7`6l3:1=vF=e29~j7`6m3:1=vF=e29~j7`6n3:1=vF=e29~j7`583:1=vF=e29~j7`593:1=vF=e29~j7`5:3:1=vF=e29~j7`5;3:1=vF=e29~j7`5<3:1=vF=e29~j7`5=3:1=vF=e29~j7`5>3:1=vF=e29~j7`5?3:1=vF=e29~j7`503:1=vF=e29~j7`513:1=vF=e29~j7`5i3:1=vF=e29~j7`5j3:1=vF=e29~j7`5k3:1=vF=e29~j7`5l3:1=vF=e29~j7`5m3:1=vF=e29~j7`5n3:1=vF=e29~j7`483:1=vF=e29~j7`493:1=vF=e29~j7`4:3:1=vF=e29~j7`4;3:1=vF=e29~j7`4<3:1=vF=e29~j7`4=3:1=vF=569K6`5<ug8m?;4?:0yK6`5<ug8m?:4?:0yK6`5<ug8m?54?:0yK6`5<ug8m?44?:0yK6`5<ug8m?l4?:0yK6`5<ug8m?o4?:0yK6`5<ug8m?n4?:0yK6`5<ug8m?i4?:0yK6`5<ug8m?h4?:0yK6`5<ug8m?k4?:0yK6`5<ug8m8=4?:0yK6`5<ug8m8<4?:0yK6`5<ug8m8?4?:0yK6`5<ug8m8>4?:0yK6`5<ug8m894?:0yK6`5<ug8m884?:0yK6`5<ug8m8;4?:0yK6`5<ug8m8:4?:0yK6`5<ug8m854?:0yK6`5<ug8m844?:0yK6`5<ug8m8l4?:0yK6`5<ug8m8o4?:0yK6`5<ug8m8n4?:0yK6`5<ug8m8i4?:0yK6`5<ug8m8h4?:0yK6`5<ug8m8k4?:0yK6`5<ug8m9=4?:0yK6`5<ug8m9<4?:0yK6`5<ug8m9?4?:0yK6`5<ug8m9>4?:0yK6`5<ug8m994?:0yK6`5<ug8m984?:0yK6`5<ug8m9;4?:0yK6`5<ug8m9:4?:0yK6`5<ug8m954?:0yK6`5<ug8m944?:0yK6`5<ug8m9l4?:0yK6`5<ug8m9o4?:0yK6`5<ug8m9n4?:0yK6`5<ug8m9i4?:0yK6`5<ug8m9h4?:0yK6`5<ug8m9k4?:0yK6`5<ug8m:=4?:0yK6`5<ug8m:<4?:0yK6`5<ug8m:?4?:0yK6`5<ug8m:>4?:0yK6`5<ug8m:94?:0yK6`5<ug8m:84?:0yK6`5<ug8m:;4?:0yK6`5<ug8m::4?:0yK6`5<ug8m:54?:0yK6`5<ug8m:44?:0yK6`5<ug8m:l4?:0yK6`5<ug8m:o4?:0yK6`5<ug8m:n4?:0yK6`5<ug8m:i4?:0yK6`5<ug8m:h4?:0yK6`5<ug8m:k4?:0yK6`5<ug8m;=4?:0yK6`5<ug8m;<4?:0yK6`5<ug8m;?4?:0yK6`5<ug8m;>4?:0yK6`5<ug8m;94?:0yK6`5<ug8m;84?:0yK6`5<ug8m;;4?:0yK6`5<ug8m;:4?:0yK6`5<ug8m;54?:0yK6`5<ug8m;44?:0yK6`5<ug8m;l4?:0yK6`5<ug8m;o4?:0yK6`5<ug8m;n4?:0yK6`5<ug8m;i4?:0yK6`5<ug8m;h4?:0yK6`5<ug8m;k4?:0yK6`5<ug8m4=4?:0yK6`5<ug8m4<4?:0yK6`5<ug8m4?4?:0yK6`5<ug8m4>4?:0yK6`5<ug8m494?:0yK6`5<ug8m484?:0yK6`5<ug8m4;4?:0yK6`5<ug8m4:4?:0yK6`5<ug8m454?:0yK6`5<ug8m444?:0yK6`5<ug8m4l4?:0yK6`5<ug8m4o4?:0yK6`5<ug8m4n4?:0yK6`5<ug8m4i4?:0yK6`5<ug8m4h4?:0yK6`5<ug8m4k4?:0yK6`5<ug8m5=4?:0yK6`5<ug8m5<4?:0yK6`5<ug8m5?4?:0yK6`5<ug8m5>4?:0yK6`5<ug8m594?:0yK6`5<ug8m584?:0yK6`5<ug8m5;4?:0yK6`5<ug8m5:4?:0yK6`5<ug8m554?:0yK6`5<ug8m544?:0yK6`5<ug8m5l4?:0yK6`5<ug8m5o4?:0yK6`5<ug8m5n4?:0yK6`5<ug8m5i4?:0yK6`5<ug8m5h4?:0yK6`5<ug8m5k4?:0yK6`5<ug8mm=4?:0yK6`5<ug8mm<4?:0yK6`5<ug8mm?4?:0yK6`5<ug8mm>4?:0yK6`5<ug8mm94?:0yK6`5<ug8mm84?:0yK6`5<ug8mm;4?:0yK6`5<ug8mm:4?:0yK6`5<ug8mm54?:0yK6`5<ug8mm44?:0yK6`5<ug8mml4?:0yK6`5<ug8mmo4?:0yK6`5<ug8mmn4?:0yK6`5<ug8mmi4?:0yK6`5<ug8mmh4?:0yK6`5<ug8mmk4?:0yK6`5<ug8mn=4?:0yK6`5<ug8mn<4?:0yK6`5<ug8mn?4?:0yK6`5<ug8mn>4?:0yK6`5<ug8mn94?:0yK6`5<ug8mn84?:0yK6`5<ug8mn;4?:0yK6`5<ug8mn:4?:0yK6`5<ug8mn54?:0yK6`5<ug8mn44?:0yK6`5<ug8mnl4?:0yK6`5<ug8mno4?:0yK6`5<ug8mnn4?:0yK6`5<ug8mni4?:0yK6`5<ug8mnh4?:0yK6`5<ug8mnk4?:0yK6`5<ug8mo=4?:0yK6`5<ug8mo<4?:0yK6`5<ug8mo?4?:0yK6`5<ug8mo>4?:0yK6`5<ug8mo94?:0yK6`5<ug8mo84?:0yK6`5<ug8mo;4?:0yK6`5<ug8mo:4?:0yK6`5<ug8mo54?:0yK6`5<ug8mo44?:0yK6`5<ug8mol4?:0yK6`5<ug8moo4?:0yK6`5<ug8mon4?:0yK6`5<ug8moi4?:0yK6`5<ug8moh4?:0yK6`5<ug8mok4?:0yK6`5<ug8mh=4?:0yK6`5<ug8mh<4?:0yK6`5<ug8mh?4?:0yK6`5<ug8mh>4?:0yK6`5<ug8mh94?:0yK6`5<ug8mh84?:0yK6`5<ug8mh;4?:0yK6`5<ug8mh:4?:0yK6`5<ug8mh54?:0yK6`5<ug8mh44?:0yK6`5<ug8mhl4?:0yK6`5<ug8mho4?:0yK6`5<ug8mhn4?:0yK6`5<ug8mhi4?:0yK6`5<ug8mhh4?:0yK6`5<ug8mhk4?:0yK6`5<ug8mi=4?:0yK6`5<ug8mi<4?:0yK6`5<ug8mi?4?:0yK6`5<ug8mi>4?:0yK6`5<ug8mi94?:0yK6`5<ug8mi84?:0yK6`5<ug8mi;4?:0yK6`5<ug8mi:4?:0yK6`5<ug8mi54?:0yK6`5<ug8mi44?:0yK6`5<ug8mil4?:0yK6`5<ug8mio4?:0yK6`5<ug8min4?:0yK6`5<ug8mii4?:0yK6`5<ug8mih4?:0yK6`5<ug8mik4?:0yK6`5<ug8mj=4?:0yK6`5<ug8mj<4?:0yK6`5<ug8mj?4?:0yK6`5<ug8mj>4?:0yK6`5<ug8mj94?:0yK6`5<ug8mj84?:0yK6`5<ug8mj;4?:0yK6`5<ug8mj:4?:0yK6`5<ug8mj54?:0yK6`5<ug8mj44?:0yK6`5<ug8mjl4?:0yK6`5<ug8mjo4?:0yK6`5<ug8mjn4?:0yK6`5<ug8mji4?:0yK6`5<ug8mjh4?:0yK6`5<ug8mjk4?:0yK6`5<ug9;<=4?:0yK6`5<ug9;<<4?:0yK6`5<ug9;<?4?:0yK6`5<ug9;<>4?:0yK6`5<ug9;<94?:0yK6`5<ug9;<84?:0yK6`5<ug9;<;4?:0yK6`5<ug9;<:4?:0yK6`5<ug9;<54?:0yK6`5<ug9;<44?:0yK6`5<ug9;<l4?:0yK6`5<ug9;<o4?:0yK6`5<ug9;<n4?:0yK6`5<ug9;<i4?:0yK6`5<ug9;<h4?:0yK6`5<ug9;<k4?:0yK6`5<ug9;==4?:0yK6`5<ug9;=<4?:0yK6`5<ug9;=?4?:0yK6`5<ug9;=>4?:0yK6`5<ug9;=94?:0yK6`5<ug9;=84?:0yK6`5<ug9;=;4?:0yK6`5<ug9;=:4?:0yK6`5<ug9;=54?:0yK6`5<ug9;=44?:0yK6`5<ug9;=l4?:0yK6`5<ug9;=o4?:0yK6`5<ug9;=n4?:0yK6`5<ug9;=i4?:0yK6`5<ug9;=h4?:0yK6`5<ug9;=k4?:0yK6`5<ug9;>=4?:0yK6`5<ug9;><4?:0yK6`5<ug9;>?4?:0yK6`5<ug9;>>4?:0yK6`5<ug9;>94?:0yK6`5<ug9;>84?:0yK6`5<ug9;>;4?:0yK6`5<ug9;>:4?:0yK6`5<ug9;>54?:0yK6`5<ug9;>44?:0yK6`5<ug9;>l4?:0yK6`5<ug9;>o4?:0yK6`5<ug9;>n4?:0yK6`5<ug9;>i4?:0yK6`5<ug9;>h4?:0yK6`5<ug9;>k4?:0yK6`5<ug9;?=4?:0yK6`5<ug9;?<4?:0yK6`5<ug9;??4?:0yK6`5<ug9;?>4?:0yK6`5<ug9;?94?:0yK6`5<ug9;?84?:0yK6`5<ug9;?;4?:0yK6`5<ug9;?:4?:0yK6`5<ug9;?54?:0yK6`5<ug9;?44?:0yK6`5<ug9;?l4?:0yK6`5<ug9;?o4?:0yK6`5<ug9;?n4?:0yK6`5<ug9;?i4?:0yK6`5<ug9;?h4?:0yK6`5<ug9;?k4?:0yK6`5<ug9;8=4?:0yK6`5<ug9;8<4?:0yK6`5<ug9;8?4?:0yK6`5<ug9;8>4?:0yK6`5<ug9;894?:0yK6`5<ug9;884?:0yK6`5<ug9;8;4?:0yK6`5<ug9;8:4?:0yK6`5<ug9;854?:0yK6`5<ug9;844?:0yK6`5<ug9;8l4?:0yK6`5<ug9;8o4?:0yK6`5<ug9;8n4?:0yK6`5<ug9;8i4?:0yK6`5<ug9;8h4?:0yK6`5<ug9;8k4?:0yK6`5<ug9;9=4?:0yK6`5<ug9;9<4?:0yK6`5<ug9;9?4?:0yK6`5<ug9;9>4?:0yK6`5<ug9;994?:0yK6`5<ug9;984?:0yK6`5<ug9;9;4?:0yK6`5<ug9;9:4?:0yK6`5<ug9;954?:0yK6`5<ug9;944?:0yK6`5<ug9;9l4?:0yK6`5<ug9;9o4?:0yK6`5<ug9;9n4?:0yK6`5<ug9;9i4?:0yK6`5<ug9;9h4?:0yK6`5<ug9;9k4?:0yK6`5<ug9;:=4?:0yK6`5<ug9;:<4?:0yK6`5<ug9;:?4?:0yK6`5<ug9;:>4?:0yK6`5<ug9;:94?:0yK6`5<ug9;:84?:0yK6`5<ug9;:;4?:0yK6`5<ug9;::4?:0yK6`5<ug9;:54?:0yK6`5<ug9;:44?:0yK6`5<ug9;:l4?:0yK6`5<ug9;:o4?:0yK6`5<ug9;:n4?:0yK6`5<ug9;:i4?:0yK6`5<ug9;:h4?:0yK6`5<ug9;:k4?:0yK6`5<ug9;;=4?:0yK6`5<ug9;;<4?:0yK6`5<ug9;;?4?:0yK6`5<ug9;;>4?:0yK6`5<ug9;;94?:0yK6`5<ug9;;84?:0yK6`5<ug9;;;4?:0yK6`5<ug9;;:4?:0yK6`5<ug9;;54?:0yK6`5<ug9;;44?:0yK6`5<ug9;;l4?:0yK6`5<ug9;;o4?:0yK6`5<ug9;;n4?:0yK6`5<ug9;;i4?:0yK6`5<ug9;;h4?:0yK6`5<ug9;;k4?:0yK6`5<ug9;4=4?:0yK6`5<ug9;4<4?:0yK6`5<ug9;4?4?:0yK6`5<ug9;4>4?:0yK6`5<ug9;494?:0yK6`5<ug9;484?:0yK6`5<ug9;4;4?:0yK6`5<ug9;4:4?:0yK6`5<ug9;454?:0yK6`5<ug9;444?:0yK6`5<ug9;4l4?:0yK6`5<ug9;4o4?:0yK6`5<ug9;4n4?:0yK6`5<ug9;4i4?:0yK6`5<ug9;4h4?:0yK6`5<ug9;4k4?:0yK6`5<ug9;5=4?:0yK6`5<ug9;5<4?:0yK6`5<ug9;5?4?:0yK6`5<ug9;5>4?:0yK6`5<ug9;594?:0yK6`5<ug9;584?:0yK6`5<ug9;5;4?:0yK6`5<ug9;5:4?:0yK6`5<ug9;554?:0yK6`5<ug9;544?:0yK6`5<ug9;5l4?:0yK6`5<ug9;5o4?:0yK6`5<ug9;5n4?:0yK6`5<ug9;5i4?:0yK6`5<ug9;5h4?:0yK6`5<ug9;5k4?:0yK6`5<ug9;m=4?:0yK6`5<ug9;m<4?:0yK6`5<ug9;m?4?:0yK6`5<ug9;m>4?:0yK6`5<ug9;m94?:0yK6`5<ug9;m84?:0yK6`5<ug9;m;4?:0yK6`5<ug9;m:4?:0yK6`5<ug9;m54?:0yK6`5<ug9;m44?:0yK6`5<ug9;ml4?:0yK6`5<ug9;mo4?:0yK6`5<ug9;mn4?:0yK6`5<ug9;mi4?:0yK6`5<ug9;mh4?:0yK6`5<ug9;mk4?:0yK6`5<ug9;n=4?:0yK6`5<ug9;n<4?:0yK6`5<ug9:;94?:0yK6`5<utwvLMMt360a>fb1m?:;9pNOBz2~DEV|uIJ
\ No newline at end of file
diff --git a/fifo16kW.vhd b/fifo16kW.vhd
new file mode 100644 (file)
index 0000000..2d3825e
--- /dev/null
@@ -0,0 +1,156 @@
+--------------------------------------------------------------------------------
+--     This file is owned and controlled by Xilinx and must be used           --
+--     solely for design, simulation, implementation and creation of          --
+--     design files limited to Xilinx devices or technologies. Use            --
+--     with non-Xilinx devices or technologies is expressly prohibited        --
+--     and immediately terminates your license.                               --
+--                                                                            --
+--     XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"          --
+--     SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR                --
+--     XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, OR INFORMATION        --
+--     AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION            --
+--     OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS              --
+--     IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,                --
+--     AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE       --
+--     FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY               --
+--     WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE                --
+--     IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR         --
+--     REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF        --
+--     INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS        --
+--     FOR A PARTICULAR PURPOSE.                                              --
+--                                                                            --
+--     Xilinx products are not intended for use in life support               --
+--     appliances, devices, or systems. Use in such applications are          --
+--     expressly prohibited.                                                  --
+--                                                                            --
+--     (c) Copyright 1995-2007 Xilinx, Inc.                                   --
+--     All rights reserved.                                                   --
+--------------------------------------------------------------------------------
+-- You must compile the wrapper file fifo16kW.vhd when simulating
+-- the core, fifo16kW. When compiling the wrapper file, be sure to
+-- reference the XilinxCoreLib VHDL simulation library. For detailed
+-- instructions, please refer to the "CORE Generator Help".
+
+-- The synthesis directives "translate_off/translate_on" specified
+-- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- synthesis tools. Ensure they are correct for your synthesis tool(s).
+
+LIBRARY ieee;
+USE ieee.std_logic_1164.ALL;
+-- synthesis translate_off
+Library XilinxCoreLib;
+-- synthesis translate_on
+ENTITY fifo16kW IS
+       port (
+       din: IN std_logic_VECTOR(31 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       almost_empty: OUT std_logic;
+       almost_full: OUT std_logic;
+       dout: OUT std_logic_VECTOR(31 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic;
+       rd_data_count: OUT std_logic_VECTOR(13 downto 0);
+       wr_data_count: OUT std_logic_VECTOR(13 downto 0));
+END fifo16kW;
+
+ARCHITECTURE fifo16kW_a OF fifo16kW IS
+-- synthesis translate_off
+component wrapped_fifo16kW
+       port (
+       din: IN std_logic_VECTOR(31 downto 0);
+       rd_clk: IN std_logic;
+       rd_en: IN std_logic;
+       rst: IN std_logic;
+       wr_clk: IN std_logic;
+       wr_en: IN std_logic;
+       almost_empty: OUT std_logic;
+       almost_full: OUT std_logic;
+       dout: OUT std_logic_VECTOR(31 downto 0);
+       empty: OUT std_logic;
+       full: OUT std_logic;
+       rd_data_count: OUT std_logic_VECTOR(13 downto 0);
+       wr_data_count: OUT std_logic_VECTOR(13 downto 0));
+end component;
+
+-- Configuration specification 
+       for all : wrapped_fifo16kW use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
+               generic map(
+                       c_rd_freq => 100,
+                       c_wr_response_latency => 1,
+                       c_has_srst => 0,
+                       c_has_rd_data_count => 1,
+                       c_din_width => 32,
+                       c_has_wr_data_count => 1,
+                       c_implementation_type => 2,
+                       c_family => "virtex4",
+                       c_has_wr_rst => 0,
+                       c_wr_freq => 100,
+                       c_underflow_low => 0,
+                       c_has_meminit_file => 0,
+                       c_has_overflow => 0,
+                       c_preload_latency => 1,
+                       c_dout_width => 32,
+                       c_rd_depth => 16384,
+                       c_default_value => "BlankString",
+                       c_mif_file_name => "BlankString",
+                       c_has_underflow => 0,
+                       c_has_rd_rst => 0,
+                       c_has_almost_full => 1,
+                       c_has_rst => 1,
+                       c_data_count_width => 14,
+                       c_has_wr_ack => 0,
+                       c_use_ecc => 0,
+                       c_wr_ack_low => 0,
+                       c_common_clock => 0,
+                       c_rd_pntr_width => 14,
+                       c_has_almost_empty => 1,
+                       c_rd_data_count_width => 14,
+                       c_enable_rlocs => 0,
+                       c_wr_pntr_width => 14,
+                       c_overflow_low => 0,
+                       c_prog_empty_type => 0,
+                       c_optimization_mode => 0,
+                       c_wr_data_count_width => 14,
+                       c_preload_regs => 0,
+                       c_dout_rst_val => "0",
+                       c_has_data_count => 0,
+                       c_prog_full_thresh_negate_val => 16381,
+                       c_wr_depth => 16384,
+                       c_prog_empty_thresh_negate_val => 3,
+                       c_prog_empty_thresh_assert_val => 2,
+                       c_has_valid => 0,
+                       c_init_wr_pntr_val => 0,
+                       c_prog_full_thresh_assert_val => 16382,
+                       c_use_fifo16_flags => 0,
+                       c_has_backup => 0,
+                       c_valid_low => 0,
+                       c_prim_fifo_type => "8kx4",
+                       c_count_type => 0,
+                       c_prog_full_type => 0,
+                       c_memory_type => 1);
+-- synthesis translate_on
+BEGIN
+-- synthesis translate_off
+U0 : wrapped_fifo16kW
+               port map (
+                       din => din,
+                       rd_clk => rd_clk,
+                       rd_en => rd_en,
+                       rst => rst,
+                       wr_clk => wr_clk,
+                       wr_en => wr_en,
+                       almost_empty => almost_empty,
+                       almost_full => almost_full,
+                       dout => dout,
+                       empty => empty,
+                       full => full,
+                       rd_data_count => rd_data_count,
+                       wr_data_count => wr_data_count);
+-- synthesis translate_on
+
+END fifo16kW_a;
+
index 18e5172e447bfd04eeaf905994c44249c7234c23..270bafcb355566c11f871df31380da546e7fde90 100755 (executable)
@@ -48,7 +48,7 @@ entity tdc_interface is
     COUNTER_f                         : in  std_logic_vector(31 downto 0);
     COUNTER_g                         : in  std_logic_vector(31 downto 0);
     COUNTER_h                         : in  std_logic_vector(31 downto 0);
-    LVL2_TRIGGER                      : in  std_logic_vector(1 downto 0);
+    LVL2_TRIGGER                      : in  std_logic;--_vector(1 downto 0);
     TDC_DATA_OUT                      : out std_logic_vector (31 downto 0);  --data to ETRAX (LVL2)
     TDC_DATA_VALID                    : out std_logic;  -- The TDC_DATA_OUT can be written
     ETRAX_IS_READY_TO_READ            : in  std_logic;
@@ -125,6 +125,22 @@ architecture tdc_interface of tdc_interface is
       empty      : OUT std_logic;
       full       : OUT std_logic);
   end component;
+  component fifo16kW--virtex_13kW_fifo
+    port (
+      din           : IN  std_logic_VECTOR(31 downto 0);
+      rd_clk        : IN  std_logic;
+      rd_en         : IN  std_logic;
+      rst           : IN  std_logic;
+      wr_clk        : IN  std_logic;
+      wr_en         : IN  std_logic;
+      almost_empty  : OUT std_logic;
+      almost_full   : OUT std_logic;
+      dout          : OUT std_logic_VECTOR(31 downto 0);
+      empty         : OUT std_logic;
+      full          : OUT std_logic;
+      rd_data_count : OUT std_logic_VECTOR(13 downto 0);
+      wr_data_count : OUT std_logic_VECTOR(13 downto 0));
+  end component;
   signal tdc_ready                  : std_logic;
   signal counter_a_i                : std_logic_vector(31 downto 0);
   signal counter_b_i                : std_logic_vector(31 downto 0);
@@ -182,7 +198,7 @@ architecture tdc_interface of tdc_interface is
   signal lvl1_fifo_rd_en : std_logic;
   signal lvl1_buffer_out : std_logic_vector(31 downto 0);
   signal lvl1_buffer_in : std_logic_vector(31 downto 0);
-  signal lvl1_buffer_counter : std_logic_vector(9 downto 0);
+  signal lvl1_buffer_counter : std_logic_vector(13 downto 0);
   signal lvl1_buffer_empty : std_logic;
   signal lvl1_buffer_full : std_logic;
   signal lvl1_buffer_wr_en : std_logic;
@@ -208,6 +224,8 @@ architecture tdc_interface of tdc_interface is
   signal tdc_ready_b_i : std_logic;
   signal tdc_ready_c_i : std_logic;
   signal tdc_ready_d_i : std_logic;
+  signal lvl1_fifo_rd_en_empty_pulse : std_logic;
+  signal lvl1_fifo_empty_not : std_logic;
 begin
    READ_ADRESS_END_UP <=   lvl2_busy_end_pulse;  --here
    TDC_REGISTER : process (CLK, RESET)
@@ -217,12 +235,12 @@ begin
          TDC_REGISTER_00(1) <= B_TDC_ERROR;
          TDC_REGISTER_00(2) <= C_TDC_ERROR;
          TDC_REGISTER_00(3) <= D_TDC_ERROR;
-         TDC_REGISTER_00(13 downto 4) <= lvl1_buffer_counter;
+         TDC_REGISTER_00(13 downto 4) <= lvl1_buffer_counter(9 downto 0);
          TDC_REGISTER_00(14) <= lvl1_busy_i;
          TDC_REGISTER_00(15) <= lvl1_or_lvl2_is_busy;--lvl1_memory_busy_i;
          TDC_REGISTER_00(30) <= lvl1_fifo_wr_en;
          TDC_REGISTER_00(31) <= lvl2_busy_i;
-         TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_counter;
+         TDC_REGISTER_00(29 downto 16) <= lvl1_fifo_counter(13 downto 0);
          TDC_REGISTER_01(26 downto 0) <=  lvl2_debug & trigger_register_00_i(5 downto 2) & "00" & trigger_register_00_i(1 downto 0)& words_in_event(15 downto 0);
          TDC_REGISTER_01(31 downto 27) <= (others => '0');
          TDC_REGISTER_02(31 downto 0) <= lvl1_buffer_out;--lvl1_fifo_out;
@@ -275,8 +293,7 @@ begin
   -- LVL1 logic 
   -----------------------------------------------------------------------------
   -----------------------------------------------------------------------------
-
-   LVL1_BUFF: lvl1_buffer
+   LVL1_BUFF: fifo16kW--virtex_13kW_fifo
      port map (
          din           => TDC_DATA_IN,
          rd_clk        => CLK,
@@ -284,25 +301,68 @@ begin
          rst           => RESET,
          wr_clk        => TDC_CLK,
          wr_en         => lvl1_buffer_wr_en,
+         almost_empty  => open,--almost_empty_i,
+         almost_full   => open,--almost_full_i,
          dout          => lvl1_buffer_out,
          empty         => lvl1_buffer_empty,
          full          => lvl1_buffer_full,
-         rd_data_count => lvl1_buffer_counter);
-   lvl1_buffer_wr_en <= tdc_ready;
-   LVL1_FIFO_LVL2: lvl1_fifo
+         rd_data_count => lvl1_buffer_counter,
+         wr_data_count => open--wr_data_count_i
+         );
+   LVL2_BUFF: fifo16kW--virtex_13kW_fifo
      port map (
-         clk        => CLK,
-         din        => lvl1_fifo_in,
-         rd_en      => lvl1_fifo_rd_en,
-         rst        => RESET,
-         wr_en      => lvl1_fifo_wr_en,
-         data_count => lvl1_fifo_counter,
-         dout       => lvl1_fifo_out,
-         empty      => lvl1_fifo_empty,
-         full       => lvl1_fifo_full);
+         din           => lvl1_fifo_in,
+         rd_clk        => CLK,
+         rd_en         => lvl1_fifo_rd_en,
+         rst           => RESET,
+         wr_clk        => CLK,
+         wr_en         => lvl1_fifo_wr_en,
+         almost_empty  => open,--almost_empty_i,
+         almost_full   => open,--almost_full_i,
+         dout          => lvl1_fifo_out,
+         empty         => lvl1_fifo_empty,
+         full          => lvl1_fifo_full,
+         rd_data_count => lvl1_fifo_counter,
+         wr_data_count => open--wr_data_count_i
+         );
+         lvl1_buffer_wr_en <= tdc_ready;
    TDC_DATA_OUT <= lvl1_fifo_out;
-   lvl1_trigger_pulse <= START_TDC_READOUT;
+
+
+--    LVL1_BUFF: lvl1_buffer
+--      port map (
+--          din           => TDC_DATA_IN,
+--          rd_clk        => CLK,
+--          rd_en         => lvl1_buffer_rd_en,
+--          rst           => RESET,
+--          wr_clk        => TDC_CLK,
+--          wr_en         => lvl1_buffer_wr_en,
+--          dout          => lvl1_buffer_out,
+--          empty         => lvl1_buffer_empty,
+--          full          => lvl1_buffer_full,
+--          rd_data_count => lvl1_buffer_counter);
+
+--    LVL1_FIFO_LVL2: lvl1_fifo
+--      port map (
+--          clk        => CLK,
+--          din        => lvl1_fifo_in,
+--          rd_en      => lvl1_fifo_rd_en,
+--          rst        => RESET,
+--          wr_en      => lvl1_fifo_wr_en,
+--          data_count => lvl1_fifo_counter,
+--          dout       => lvl1_fifo_out,
+--          empty      => lvl1_fifo_empty,
+--          full       => lvl1_fifo_full);
+
+   START_TRIGGER_PULSER : edge_to_pulse
+     port map (
+       clock     => CLK,
+       en_clk    => '1',
+       signal_in => START_TDC_READOUT,
+       pulse     => lvl1_trigger_pulse);
+--   lvl1_trigger_pulse <= START_TDC_READOUT;
+   
    DELAY_COUNTER     : simpleupcounter_8bit
      port map (
        CLK       => CLK,
@@ -351,7 +411,7 @@ begin
         delay_up                 <= '0';
         delay_fsm_nextstate      <= IDLE;
       when others  =>
-        trigger_register_00_i(1 downto 0)    <= "11";
+        trigger_register_00_i(1 downto 0)    <= "00";
         lvl1_trigger_pulse_delay <= '0';
         delay_clr                <= '1';
         delay_up                 <= '0';
@@ -406,7 +466,7 @@ begin
         lvl1_buffer_rd_en_fsm <= '0';
         lvl1_tdc_trigg_i_fsm         <= '0';
         lvl1_busy_i_fsm <= '0';
-        if (lvl1_trigger_pulse_start = '1'and LVL1_CODE /= x"d") or (TRIGGER_WITH_GEN_EN ='1' and trigger_with_gen_pulse = '1' and lvl1_or_lvl2_is_busy = '0' ) then
+        if (lvl1_trigger_pulse_start = '1'and LVL1_CODE /= x"d") or (TRIGGER_WITH_GEN_EN ='1' and trigger_with_gen_pulse = '1' and lvl1_busy_i = '0' and lvl2_busy_i = '0') then
           LVL1_START_fsm_nextstate <= SEND_LVL1_TRIGG_1;
         else
           LVL1_START_fsm_nextstate <= IDLE;
@@ -644,12 +704,19 @@ begin
 --   first_header  <= x"0" & LVL1_CODE & lvl1_tag_minus1 &  words_in_event;
 --   second_header <= x"030000" & HOW_MANY_ADD_DATA;
    first_header  <= x"0" & lvl1_code_i & lvl1_tag_minus1 &  words_in_event;
-   second_header <= TDC_REGISTER_05(7 downto 0) & x"0000" & HOW_MANY_ADD_DATA;
+   second_header <= TDC_REGISTER_05(15 downto 8) & x"0000" & HOW_MANY_ADD_DATA;
   -----------------------------------------------------------------------------
   ----------------------------------------------------------------------------
   -- LVL2 logic (only CLK domain)
   -----------------------------------------------------------------------------
   -----------------------------------------------------------------------------
+   lvl1_fifo_empty_not <= not lvl1_fifo_empty;
+    FIFO_RD_PULSER : edge_to_pulse
+     port map (
+       clock     => CLK,
+       en_clk    => '1',
+       signal_in => lvl1_fifo_empty_not,
+       pulse     => lvl1_fifo_rd_en_empty_pulse);
    LVL2_START         : process (CLK, RESET)
    begin 
      if rising_edge(CLK)  then 
@@ -664,7 +731,7 @@ begin
        end if;
      end if;
    end process LVL2_START;
-   START_LVL2_FSM: process (LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read)
+   START_LVL2_FSM: process (LVL2_TRIGGER,LVL2_START_fsm_currentstate, LVL1_START_fsm_currentstate, how_many_words_in_event, lvl1_fifo_out, etrax_is_ready_to_read, lvl1_fifo_rd_en_empty_pulse)
    begin
      lvl2_debug (2 downto 0) <= "000";
      lvl1_fifo_rd_en_fsm <= '0';
@@ -673,10 +740,11 @@ begin
      case (LVL2_START_fsm_currentstate) is
        when IDLE =>
          lvl2_debug (2 downto 0) <= "001";
-         lvl1_fifo_rd_en_fsm <= '0';
+         lvl1_fifo_rd_en_fsm <= lvl1_fifo_rd_en_empty_pulse;--'0';
          tdc_data_valid_i_fsm <= '0';
-         if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then
-             LVL2_START_fsm_nextstate <= READOUT_WORD1;
+--         if LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG then
+         if LVL2_TRIGGER = '1' or (TRIGGER_WITH_GEN_EN ='1' and LVL1_START_fsm_currentstate = SEND_LVL2_TRIGG ) then
+             LVL2_START_fsm_nextstate <= SAVE_EVENT_SIZE;--READOUT_WORD1;
          else
              LVL2_START_fsm_nextstate <= IDLE;
          end if;
@@ -752,7 +820,7 @@ begin
       en_clk    => '1',
       signal_in => lvl2_busy_i,
       pulse     => lvl2_busy_start_pulse); 
-  lvl1_memory_busy_i <= '1' when lvl1_fifo_counter > "00001111111111" else '0';   
+  lvl1_memory_busy_i <= '1' when lvl1_fifo_counter(13) = '1' else '0';   
                                         --set
                                         --to
                                         --max
@@ -812,7 +880,7 @@ begin
       if RESET = '1' then  
         LVL1_BUSY <= '0';
       else
-        LVL1_BUSY <= lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i;  --here
+        LVL1_BUSY <= lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i or lvl1_memory_busy_i;--lvl1_or_lvl2_is_busy;--lvl1_busy_i;  --here
       end if;
     end if;
   end process REGITERING_SIGNALS;
index 1483d1518e06874f06e8cfd660409bf40120dcd4..4b22691acde4cb9de434427a2f4ba6b0c715ecbf 100644 (file)
@@ -45,8 +45,8 @@ entity trb_v2b_fpga is
     C_TEMP          : in  std_logic;
     D_RESERVED      : in  std_logic;
     D_TEMP          : in  std_logic;
---     VIR_TRIG        : in  std_logic;    --fast trigger 
---     VIR_TRIGB       : in  std_logic;
+    VIR_TRIG        : in  std_logic;    --fast trigger 
+    VIR_TRIGB       : in  std_logic;
     -------------------------------------------------------------------------
     -- TDC connections
     -------------------------------------------------------------------------
@@ -267,11 +267,11 @@ entity trb_v2b_fpga is
     -------------------------------------------------------------------------
     -- ADDON board 
     -------------------------------------------------------------------------
-    --  ADDON_TO_TRB_CLKINN       : std_logic;
-    --  ADDON_TO_TRB_CLKINP       : std_logic;
-      ADO_LV                    : in std_logic_vector(51 downto 0);  --lvds signal
--   ADO_TTL                   : inout std_logic_vector(46 downto 0);
-      ADO_TTL                   : inout std_logic_vector(15 downto 0);
+     ADDON_TO_TRB_CLKINN       : in std_logic;
+     ADDON_TO_TRB_CLKINP       : in std_logic;
+     ADO_LV                    : in std_logic_vector(51 downto 0);  --lvds signal
    ADO_TTL                   : inout std_logic_vector(46 downto 0);
--     ADO_TTL                   : inout std_logic_vector(15 downto 0);
 --      ADO_TTL                   : in std_logic;
     -------------------------------------------------------------------------------
     --JTAG 
@@ -382,7 +382,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
       COUNTER_f                : in  std_logic_vector(31 downto 0);
       COUNTER_g                : in  std_logic_vector(31 downto 0);
       COUNTER_h                : in  std_logic_vector(31 downto 0);
-      LVL2_TRIGGER             : in  std_logic_vector(1 downto 0);
+      LVL2_TRIGGER             : in  std_logic;--_vector(1 downto 0);
       TDC_DATA_OUT             : out std_logic_vector(31 downto 0);
       TDC_DATA_VALID           : out std_logic;
       ETRAX_IS_READY_TO_READ   : in  std_logic;
@@ -600,6 +600,15 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
 -------------------------------------------------------------------------------
 -- SIGNALS
 -------------------------------------------------------------------------------
+constant trbv2_type : integer := 0;     
+                                        -- 0 - trbv2 + RPC or TOF or FWALL or Start or Veto,
+                                        -- 1 - MDC,
+                                        -- 2 - SHOWER,
+                                        -- 3 - RICH
+constant trbnet_enable : integer := 0;  -- 0 - disable,
+                                        -- 1 - enable
+constant dsp_int_enable : integer := 0;  -- dsp interface enable
+constant sdram_int_enable : integer := 0;  -- sdram interface enable
 
 --  constant VERSION_NUMBER_TIME : integer := 1210005214;
   signal CLK : std_logic;
@@ -623,7 +632,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal lvl1_trigger_tag_i : std_logic_vector(7 downto 0);
   signal tdc_code_i : std_logic_vector(3 downto 0);
   signal tdc_tag_i : std_logic_vector(7 downto 0);
-  signal lvl2_trigger_i : std_logic_vector(1 downto 0);
+  signal lvl2_trigger_i : std_logic;--_vector(1 downto 0);
   signal lvl1_trigger_i : std_logic;
   signal trigg_without_hades_i : std_logic;
   signal trigg_without_hades_t : std_logic;
@@ -828,6 +837,8 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal trigger_for_test_signal : std_logic;
   signal not_hades_trigger : std_logic;
 --internal
+  signal fast_ref_trigger : std_logic;
+  signal fast_ref_trigger_pulse : std_logic;
   signal lvds_or : std_logic;
   signal med_data_in_i_saved : std_logic_vector(63 downto 0);
   signal end_of_transfer : std_logic;
@@ -837,6 +848,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal rx_dv_pulse : std_logic;
   signal opt_busy : std_logic;
   signal not_lvl1_busy_i : std_logic;
+  signal not_lvl2_busy_i : std_logic;
   signal not_lvl1_busy_pulse : std_logic;
   signal check_first_trigg_synch : std_logic;
   signal check_first_trigg : std_logic_vector(1 downto 0);
@@ -845,6 +857,12 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
   signal fast_trigg_pulse : std_logic;
           signal hub_test : std_logic_vector(7 downto 0);
      signal tlk_tx_en_i : std_logic;
+  signal lvl1_trb_ack_i : std_logic;
+  signal lvl2_trb_ack_i : std_logic;
+  signal lvl1_trb_ack_synch : std_logic;
+  signal lvl2_trb_ack_synch : std_logic;
+  signal opt_synch_stat : std_logic;
+  signal opt_synch_stat_counter : std_logic_vector(31 downto 0);
 --extension data
   signal timing_counter : std_logic_vector(63 downto 0);
   signal timing_counter_reg : std_logic_vector(63 downto 0);
@@ -855,7 +873,7 @@ architecture trb_v2b_fpga of trb_v2b_fpga is
      signal ext_trigger_code : std_logic;
      signal ado_ttl0_i : std_logic;
      signal ado_ttl0_more : std_logic;
-
+  signal addon_clk : std_logic;
 begin
 -------------------------------------------------------------------------------
 -- test
@@ -933,7 +951,7 @@ begin
   SAVE_TIME: process (CLK, external_reset_i)
   begin  -- process SAVE_TIME
     if rising_edge(CLK) then  -- rising clock edge
-      if external_reset_i = '1' and (not_hades_trigger = '1' or lvl1_trigger_i = '1') then      -- asynchronous reset (active low)
+      if external_reset_i = '1' and (not_hades_trigger = '1' or lvl1_trigger_i = '1' or TLK_RX_DV = '1') then      -- asynchronous reset (active low)
         timing_counter_reg <= timing_counter;
       else
         timing_counter_reg <= timing_counter_reg;
@@ -983,6 +1001,9 @@ begin
     port map ( O => D_TDC_BU_RESET, OB =>D_TDC_BU_RESETB, I => bunch_reset_i);
   OBUFDS_EVENT_RESET_D : OBUFDS generic map (IOSTANDARD => "LVDS_25")
     port map ( O => D_TDC_EV_RESET, OB =>D_TDC_EV_RESETB, I => event_reset_i);
+  --fast trigg-----------------------------------------------------------------
+  IBUFDS_FAST_TRIGGER : IBUFDS generic map (IOSTANDARD => "LVDS_25_DCI")
+    port map (I => VIR_TRIG, IB => VIR_TRIGB, O => fast_ref_trigger);
   -- SPI ----------------------------------------------------------------------
     --A
   OBUFDS_SCK_A : OBUFDS generic map (IOSTANDARD => "LVDS_25")
@@ -1332,48 +1353,118 @@ begin
 -- tdc interface without trbnet
 -----------------------------------------------------------------------------
     --opt
-       TLK_TXD             <= x"abcd";
-       TLK_TX_ER           <= '0';
-       SFP_TX_DIS          <= fpga_register_06_i(15);
-       TLK_LOOPEN          <= '0';
-       TLK_LCKREFN         <= '1';
-       TLK_ENABLE          <= '1';
-       TLK_PRBSEN          <= '0';
-       SATRT_PULSE             : edge_to_pulse
-         port map (
-           clock                    => CLK,
-           en_clk                   => '1',
-           signal_in                => TLK_RX_DV,
-           pulse                    => rx_dv_pulse);
-       not_lvl1_busy_i <= not lvl1_busy_i;
-       BUSY_PULSE : edge_to_pulse
-         port map (
-           clock     => tlk_clk,
-           en_clk    => '1',
-           signal_in => not_lvl1_busy_i,
-           pulse     => not_lvl1_busy_pulse);
-       TLK_TX_EN <= not_lvl1_busy_pulse;
-  
-  
-            SYNCH: process (CLK, external_reset_i)
-            begin
-              if rising_edge(tlk_rx_clk_r) then
-                if external_reset_i = '1' then        -- asynchronous reset (active low)
-                  TLK_RXD_i <= x"0000";
-                elsif TLK_RX_DV = '1' then
-                  TLK_RXD_i <= TLK_RXD;
-                else
-                  TLK_RXD_i <= TLK_RXD_i;
-                end if;
-              end if;
-            end process SYNCH;
---     ADO_TTL(3 downto 0) <= TLK_RXD(3 downto 0);
---       ADO_TTL(4) <= TLK_RX_DV;
---       ADO_TTL(5) <= TLK_RX_ER;
---       ADO_TTL(6) <= lvl1_busy_i;
---       ADO_TTL(7) <= not_lvl1_busy_pulse;
- --end opt
+  TLK_TX_ER           <= '0';
+  SFP_TX_DIS          <= fpga_register_06_i(15);
+  TLK_LOOPEN          <= '0';
+  TLK_LCKREFN         <= '1';
+  TLK_ENABLE          <= '1';
+  TLK_PRBSEN          <= '0';
+  TLK_RX_CLK_BUFR: BUFR
+    port map(
+      CE => '1',
+      CLR => '0',
+      I => TLK_RX_CLK,
+      O => tlk_rx_clk_r
+      );
+  TLK_CLK_BUFR: BUFR
+    port map(
+      CE => '1',
+      CLR => '0',
+      I => TLK_CLK,
+      O => tlk_clk_r
+      );
+  not_lvl2_busy_i <= not lvl2_busy_i;
+     ACK_LVL2_PULSER : edge_to_pulse
+       port map (
+         clock     => tlk_rx_clk_r,
+         en_clk    => '1',
+         signal_in => not_lvl2_busy_i,
+         pulse     => lvl2_trb_ack_i);
+  not_lvl1_busy_i <= not lvl1_busy_i;
+     ACK_LVL1_PULSER : edge_to_pulse
+       port map (
+         clock     => tlk_rx_clk_r,
+         en_clk    => '1',
+         signal_in => not_lvl1_busy_i,
+         pulse     => lvl1_trb_ack_i);
+  SYNCH_ACK: process (tlk_clk_r, external_reset_i)
+  begin  -- process SYNCH_ACK
+    if rising_edge(tlk_clk_r) then
+      if external_reset_i = '1' then      -- asynchronous reset (active low)
+        lvl1_trb_ack_synch <= '0';
+        lvl2_trb_ack_synch <= '0';
+      else
+        lvl1_trb_ack_synch <= lvl1_trb_ack_i;
+        lvl2_trb_ack_synch <= lvl2_trb_ack_i;
+      end if;
+    end if;
+  end process SYNCH_ACK;
+  SEND_LVL1_LVL2_ACK: process (tlk_clk_r, external_reset_i)
+  begin  
+    if rising_edge(tlk_clk_r) then
+      if external_reset_i = '1' and opt_synch_stat = '0' then        -- asynchronous reset (active low)
+        TLK_TX_EN <= '0';
+        TLK_TXD <= x"0000";
+      elsif lvl1_trb_ack_i = '1' then
+        TLK_TX_EN <= '1';
+        TLK_TXD <= x"1000";
+      elsif lvl2_trb_ack_i = '1' then
+        TLK_TX_EN <= '1';
+        TLK_TXD <= x"2000";
+      elsif lvl1_trb_ack_i = '1' and lvl2_trb_ack_i ='1' then
+        TLK_TX_EN <= '1';
+        TLK_TXD <= x"1000";
+      elsif lvl1_trb_ack_synch = '1' and lvl2_trb_ack_synch ='1' then
+        TLK_TX_EN <= '1';
+        TLK_TXD <= x"2000";
+      else
+        TLK_TX_EN <= '0';
+        TLK_TXD <= x"0000";
+      end if;
+    end if;
+  end process SEND_LVL1_LVL2_ACK;
+  SAVE_LVL1_LVL2_TRIGG: process (tlk_rx_clk_r, external_reset_i, TLK_RX_DV, TLK_RXD(15 downto 12), TLK_RX_ER)
+  begin  
+    if falling_edge(tlk_rx_clk_r) then
+      if external_reset_i = '1' or opt_synch_stat = '0' then      -- asynchronous reset (active low)
+        lvl1_trigger_code_i <= x"0";
+        lvl1_trigger_tag_i <= x"00";
+        lvl2_trigger_code_i <= x"0";
+        lvl1_trigger_i <= '0';
+        lvl2_trigger_i <= '0';
+      elsif TLK_RX_DV = '1' and TLK_RX_ER = '0' and TLK_RXD(15 downto 12)= x"1" then
+        lvl1_trigger_code_i <= TLK_RXD(11 downto 8);
+        lvl1_trigger_tag_i <= TLK_RXD(7 downto 0);
+        lvl2_trigger_code_i <= lvl2_trigger_code_i;
+        lvl1_trigger_i <= '1';
+        lvl2_trigger_i <= '0';
+      elsif TLK_RX_DV = '1' and TLK_RX_ER = '0' and TLK_RXD(15 downto 12)= x"2" then
+        lvl1_trigger_code_i <= lvl1_trigger_code_i;
+        lvl1_trigger_tag_i <= lvl1_trigger_tag_i;
+        lvl2_trigger_code_i <= TLK_RXD(11 downto 8);
+        lvl1_trigger_i <= '0';
+        lvl2_trigger_i <= '1';
+      else
+        lvl1_trigger_code_i <= lvl1_trigger_code_i;
+        lvl1_trigger_tag_i <= lvl1_trigger_tag_i;
+        lvl2_trigger_code_i <= lvl2_trigger_code_i;
+        lvl1_trigger_i <= '0';
+        lvl2_trigger_i <= '0';
+      end if;
+    end if;
+  end process SAVE_LVL1_LVL2_TRIGG;
+  CHECK_OPT_SYNCH: process (tlk_rx_clk_r, external_reset_i)
+  begin  
+    if rising_edge(tlk_rx_clk_r) then 
+      if external_reset_i = '1' or TLK_RX_ER = '1' then   
+        opt_synch_stat_counter <= x"00000000";
+      elsif (TLK_RX_ER = '0' and TLK_RX_DV = '0') and opt_synch_stat_counter(27) = '0' then
+        opt_synch_stat_counter <= opt_synch_stat_counter + 1;
+      end if;
+    end if;
+  end process CHECK_OPT_SYNCH;
+  opt_synch_stat <= '1';--opt_synch_stat_counter(27);sim
+   --end opt
 
 
 
@@ -1389,95 +1480,101 @@ begin
 
 --from here
 
-      TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
-      reset_i <= not RESET_VIRT;
-      TOKEN_OUT <= token_out_i;
-      VIRT_TRST <= not fpga_register_06_i(5);--'1';
+       TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
+       reset_i <= not RESET_VIRT;
+       TOKEN_OUT <= token_out_i;
+       VIRT_TRST <= not fpga_register_06_i(5);--'1';
 
-       TDC_INT : tdc_interface
-        port map (
-          CLK                    => CLK,
-          TDC_CLK                => tdc_clk,  --CLK,--tdc_clk,  --mdc addon or CLK 
-          RESET                  => external_reset_i,  --not RESET_VIRT,
-          --          TDC_RESET                => TDC_RESET,
-          TDC_DATA_IN            => TDC_OUT,  --x"0" & "00" & lvds_add_on_data(25 downto 0),  --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT,  --mdc addon or
-          START_TDC_READOUT      => TLK_RX_DV,--'0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i,  --lvl1_tdc_trigg_i,
-          A_TDC_ERROR            => A_TDC_ERROR,
-          B_TDC_ERROR            => B_TDC_ERROR,
-          C_TDC_ERROR            => C_TDC_ERROR,
-          D_TDC_ERROR            => D_TDC_ERROR,
-          A_TDC_POWERUP          => open,   --A_TDC_POWERUP,
-          B_TDC_POWERUP          => open,   --B_TDC_POWERUP,
-          C_TDC_POWERUP          => open,   --C_TDC_POWERUP,
-          D_TDC_POWERUP          => open,   --D_TDC_POWERUP,
-          A_TDC_READY            => A_DATA_READY,  --ADO_TTL(3),  --A_DATA_READY,mdc addon or
-          B_TDC_READY            => B_DATA_READY,  --ADO_TTL(3),  --B_DATA_READY,mdc addon or
-          C_TDC_READY            => C_DATA_READY,  --ADO_TTL(3),  --C_DATA_READY,mdc addon or
-          D_TDC_READY            => D_DATA_READY,  --ADO_TTL(3),  --D_DATA_READY,mdc addon or
-          SEND_TDC_TOKEN         => token_out_i, --ADO_TTL(1),-- mdc or addon
-          RECEIVED_TDC_TOKEN     => TOKEN_IN,  --ADO_TTL(2),--TOKEN_IN,  --mdc addon or
-                                            --normal
-          GET_TDC_DATA           => GET_DATA,
-          TO_MANY_TDC_DATA       => to_many_tdc_data_i,
-          TDC_READOUT_COMPLETED  => tdc_readout_completed_i,
-          LVL1_TAG               => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i,  --apl_seqnr_out_i,  --tdc_tag_i,
-          LVL1_CODE              => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i,  --apl_data_out_i(3 downto 0),  --tdc_code_i,
-          HOW_MANY_ADD_DATA      => fpga_register_06_i(23 downto 16),  --how_many_add_data_i,
-          COUNTER_a              => scaler_counter(0)(31 downto 0),--timing_counter(31 downto 0),--test_counter_1,  --scaler_counter_0,  --x"12311231",
-          COUNTER_b              => scaler_counter(0)(63 downto 32),--timing_counter(63 downto 32),--scaler_counter(0),  --x"12321232",
-          COUNTER_c              => scaler_counter(1)(31 downto 0),  --x"12331233",
-          COUNTER_d              => scaler_counter(2)(31 downto 0),  --x"12341234",
-          COUNTER_e              => scaler_counter(3)(31 downto 0),  --x"12351235",
-          COUNTER_f              => scaler_counter(3)(31 downto 0),  --x"12361236",
-          COUNTER_g              => scaler_counter(4)(31 downto 0),  --x"12371237",
-          COUNTER_h              => scaler_counter(5)(31 downto 0),  --x"12381238",
-          LVL2_TRIGGER           => lvl2_trigger_i,  --lvl2_tdc_trigg_i, here
-          TDC_DATA_OUT           => tdc_data_out_i,
-          TDC_DATA_VALID         => tdc_data_valid_i,
-          ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
-          LVL1_BUSY              => lvl1_busy_i,
-          LVL2_BUSY              => lvl2_busy_i,
-          TDC_REGISTER_00        => tdc_register_00_i,
-          TDC_REGISTER_01        => tdc_register_01_i,
-          TDC_REGISTER_02        => tdc_register_02_i,
-          TDC_REGISTER_03        => tdc_register_03_i,
-          TDC_REGISTER_04        => tdc_register_04_i,
-          TDC_REGISTER_05        => fpga_register_0e_i,
-          BUNCH_RESET            => bunch_reset_i,
-          EVENT_RESET            => event_reset_i,
-          READ_ADRESS_END_UP     => trb_ack_lvl2_i,
-          DELAY_TRIGGER          => x"00",  --fpga_register_06_i(31 downto 24),
-          TDC_START              => trigger_to_tdc_i,
-          TRIGGER_WITHOUT_HADES  => fpga_register_06_i(7),
-          TRIGGER_WITH_GEN_EN    => fpga_register_06_i(8),
-          TRIGGER_WITH_GEN       => not_hades_trigger  --trigger_for_test_signal or generator_trigger
-          );
-    --ADO_TTL(42 downto 35)  <= tdc_register_01_i(26 downto 19);
-    --  not_hades_trigger <= rx_dv_pulse;--trigger_for_test_signal or generator_trigger_1 or generator_trigger_2;
-   --   not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal;
-     not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal;
-      --   not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal;
-  --    not_hades_trigger <= generator_trigger_1;
-      a_trigg          <= trigger_to_tdc_i;
-      b_trigg          <= trigger_to_tdc_i;
-      c_trigg          <= trigger_to_tdc_i;
-      d_trigg          <= trigger_to_tdc_i;
-      A_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
-      B_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
-      C_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
-      D_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
-      DBAD         <= lvl1_busy_i;
-      DINT         <= etrax_bus_busy_i;
-      DWAIT        <= fpga_register_06_i(6);
-    --- not hades trigger ----------------------------------------------------------
-       EXT_TRIGGER_1 : edge_to_pulse
+        TDC_INT : tdc_interface
          port map (
-           clock  => CLK,
-           en_clk => '1',
-           signal_in => ADO_TTL(0),
-           pulse  => generator_trigger_1);
-      generator_trigger_2 <= '0';
-   ADO_TTL(0) <= 'Z';
+           CLK                    => CLK,
+           TDC_CLK                => tdc_clk,  --CLK,--tdc_clk,  --mdc addon or CLK 
+           RESET                  => external_reset_i,  --not RESET_VIRT,
+           --          TDC_RESET                => TDC_RESET,
+           TDC_DATA_IN            => TDC_OUT,  --x"0" & "00" & lvds_add_on_data(25 downto 0),  --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT,  --mdc addon or
+           START_TDC_READOUT      => lvl1_trigger_i,--TLK_RX_DV,--'0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i,  --lvl1_tdc_trigg_i,
+           A_TDC_ERROR            => A_TDC_ERROR,
+           B_TDC_ERROR            => B_TDC_ERROR,
+           C_TDC_ERROR            => C_TDC_ERROR,
+           D_TDC_ERROR            => D_TDC_ERROR,
+           A_TDC_POWERUP          => open,   --A_TDC_POWERUP,
+           B_TDC_POWERUP          => open,   --B_TDC_POWERUP,
+           C_TDC_POWERUP          => open,   --C_TDC_POWERUP,
+           D_TDC_POWERUP          => open,   --D_TDC_POWERUP,
+           A_TDC_READY            => A_DATA_READY,  --ADO_TTL(3),  --A_DATA_READY,mdc addon or
+           B_TDC_READY            => B_DATA_READY,  --ADO_TTL(3),  --B_DATA_READY,mdc addon or
+           C_TDC_READY            => C_DATA_READY,  --ADO_TTL(3),  --C_DATA_READY,mdc addon or
+           D_TDC_READY            => D_DATA_READY,  --ADO_TTL(3),  --D_DATA_READY,mdc addon or
+           SEND_TDC_TOKEN         => token_out_i, --ADO_TTL(1),-- mdc or addon
+           RECEIVED_TDC_TOKEN     => TOKEN_IN,  --ADO_TTL(2),--TOKEN_IN,  --mdc addon or
+                                             --normal
+           GET_TDC_DATA           => GET_DATA,
+           TO_MANY_TDC_DATA       => to_many_tdc_data_i,
+           TDC_READOUT_COMPLETED  => tdc_readout_completed_i,
+           LVL1_TAG               => lvl1_trigger_tag_i,  --apl_seqnr_out_i,  --tdc_tag_i,
+           LVL1_CODE              => lvl1_trigger_code_i,  --apl_data_out_i(3 downto 0),  --tdc_code_i,
+           HOW_MANY_ADD_DATA      => fpga_register_06_i(23 downto 16),  --how_many_add_data_i,
+           COUNTER_a              => scaler_counter(0)(31 downto 0),--timing_counter(31 downto 0),--test_counter_1,  --scaler_counter_0,  --x"12311231",
+           COUNTER_b              => scaler_counter(0)(63 downto 32),--timing_counter(63 downto 32),--scaler_counter(0),  --x"12321232",
+           COUNTER_c              => scaler_counter(1)(31 downto 0),  --x"12331233",
+           COUNTER_d              => scaler_counter(2)(31 downto 0),  --x"12341234",
+           COUNTER_e              => timing_counter(31 downto 0),--scaler_counter(3)(31 downto 0),  --x"12351235",
+           COUNTER_f              => timing_counter(63 downto 32),--scaler_counter(3)(31 downto 0),  --x"12361236",
+           COUNTER_g              => scaler_counter(4)(31 downto 0),  --x"12371237",
+           COUNTER_h              => scaler_counter(5)(31 downto 0),  --x"12381238",
+           LVL2_TRIGGER           => lvl2_trigger_i,  --lvl2_tdc_trigg_i, here
+           TDC_DATA_OUT           => tdc_data_out_i,
+           TDC_DATA_VALID         => tdc_data_valid_i,
+           ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+           LVL1_BUSY              => lvl1_busy_i,
+           LVL2_BUSY              => lvl2_busy_i,
+           TDC_REGISTER_00        => tdc_register_00_i,
+           TDC_REGISTER_01        => tdc_register_01_i,
+           TDC_REGISTER_02        => tdc_register_02_i,
+           TDC_REGISTER_03        => tdc_register_03_i,
+           TDC_REGISTER_04        => tdc_register_04_i,
+           TDC_REGISTER_05        => fpga_register_0e_i,
+           BUNCH_RESET            => bunch_reset_i,
+           EVENT_RESET            => event_reset_i,
+           READ_ADRESS_END_UP     => trb_ack_lvl2_i,
+           DELAY_TRIGGER          => x"00",  --fpga_register_06_i(31 downto 24),
+           TDC_START              => trigger_to_tdc_i,
+           TRIGGER_WITHOUT_HADES  => fpga_register_06_i(7),
+           TRIGGER_WITH_GEN_EN    => fpga_register_06_i(8),
+           TRIGGER_WITH_GEN       => not_hades_trigger  --trigger_for_test_signal or generator_trigger
+           );
+     --ADO_TTL(42 downto 35)  <= tdc_register_01_i(26 downto 19);
+     --  not_hades_trigger <= rx_dv_pulse;--trigger_for_test_signal or generator_trigger_1 or generator_trigger_2;
+    --   not_hades_trigger <= '0';--generator_trigger_1 or trigger_for_test_signal;
+      not_hades_trigger <= fast_ref_trigger_pulse;--'0';--generator_trigger_1 or trigger_for_test_signal;
+       --   not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal;
+   --    not_hades_trigger <= generator_trigger_1;
+       a_trigg          <= trigger_to_tdc_i;
+       b_trigg          <= trigger_to_tdc_i;
+       c_trigg          <= trigger_to_tdc_i;
+       d_trigg          <= trigger_to_tdc_i;
+       A_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+       B_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+       C_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+       D_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+       DBAD         <= lvl1_busy_i;
+       DINT         <= etrax_bus_busy_i;
+       DWAIT        <= fpga_register_06_i(6);
+     --- not hades trigger ----------------------------------------------------------
+--         EXT_TRIGGER_1 : edge_to_pulse
+--           port map (
+--             clock  => CLK,
+--             en_clk => '1',
+--             signal_in => ADO_TTL(0),
+--             pulse  => generator_trigger_1);
+        EXT_TRIGGER_1 : edge_to_pulse
+          port map (
+            clock  => CLK,
+            en_clk => '1',
+            signal_in => fast_ref_trigger,
+            pulse  => fast_ref_trigger_pulse);
+--       generator_trigger_2 <= '0';
+       ADO_TTL(0) <= 'Z';
 -------------------------------------------------------------------------------
 -- tdc to api 
 -------------------------------------------------------------------------------
@@ -1507,128 +1604,143 @@ begin
 --------------------------------------------------------------------------
 -- MDCaddon mdc addon 
 --------------------------------------------------------------------------
---      ADO_TTL(6) <= 'L';
---      ADO_TTL(5) <= 'L';
---      EXT_TRIGGER_NORM : edge_to_pulse
---         port map (
---           clock  => CLK,
---           en_clk => '1',
---           signal_in => ADO_TTL(6),
---           pulse  => ext_trigger_norm);
---      EXT_TRIGGER_CAL : edge_to_pulse
+--   IBUFGDS_ADDCLK : IBUFGDS                 
+--     generic map (
+--       IOSTANDARD => "LVDS_25_DCI")
+--     port map (
+--       O => addon_clk,--CLK,
+--       I => ADDON_TO_TRB_CLKINP,  
+--       IB => ADDON_TO_TRB_CLKINN -- Diff_n clock buffer input (connect to top-level port)
+--     );
+--       ADO_TTL(6) <= 'L';
+--       ADO_TTL(5) <= 'L';
+  
+--       EXT_TRIGGER_NORM : edge_to_pulse
+--          port map (
+--            clock  => CLK,
+--            en_clk => '1',
+--            signal_in => ADO_TTL(6),
+--            pulse  => ext_trigger_norm);
+--       EXT_TRIGGER_CAL : edge_to_pulse
+--          port map (
+--            clock  => CLK,
+--            en_clk => '1',
+--            signal_in => ADO_TTL(5),
+--            pulse  => ext_trigger_cal);
+--       SAVE_EXT_CODE: process (CLK, external_reset_i)
+--       begin 
+--         if rising_edge(CLK) then 
+--           if external_reset_i = '1' or (not_lvl1_busy_pulse = '1' and  ext_trigger_code = '0') then     -- asynchronous reset (active low)
+--             ext_trigger_code <= '1';
+--           elsif ext_trigger_cal = '1' then
+--             ext_trigger_code <= '0';
+--           end if;
+--         end if;
+--       end process SAVE_EXT_CODE;
+--       ADO_TTL(4) <= ext_trigger_code;
+--       not_lvl1_busy_i <= not lvl1_busy_i;
+--       BUSY_PULSE : edge_to_pulse
 --         port map (
---           clock  => CLK,
---           en_clk => '1',
---           signal_in => ADO_TTL(5),
---           pulse  => ext_trigger_cal);
---      SAVE_EXT_CODE: process (CLK, external_reset_i)
---      begin 
---        if rising_edge(CLK) then 
---          if external_reset_i = '1' or (not_lvl1_busy_pulse = '1' and  ext_trigger_code = '0') then     -- asynchronous reset (active low)
---            ext_trigger_code <= '1';
---          elsif ext_trigger_cal = '1' then
---            ext_trigger_code <= '0';
---          end if;
---        end if;
---      end process SAVE_EXT_CODE;
---      ADO_TTL(4) <= ext_trigger_code;
---      not_lvl1_busy_i <= not lvl1_busy_i;
---      BUSY_PULSE : edge_to_pulse
+--           clock     => tlk_clk,
+--           en_clk    => '1',
+--           signal_in => not_lvl1_busy_i,
+--           pulse     => not_lvl1_busy_pulse);
+--       ADO_TTL(2) <= 'Z';
+--       ADO_TTL(3) <= 'Z';
+--       ADO_TTL(15 downto 8) <= (others => 'Z');
+--       ADO_TTL(0) <= 'Z';
+--       ADO_TTL(7) <= addon_clk;
+--      TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
+--      reset_i <= not RESET_VIRT;
+--      TOKEN_OUT <= token_out_i;
+--      VIRT_TRST <= not fpga_register_06_i(5);--'1';
+--     ADO_TTL(8) <= lvl1_busy_i;
+--     ADO_TTL(9) <= ADO_TTL(3);
+--     ADO_TTL(10) <=  tdc_register_01_i(0);
+--     ADO_TTL(11) <= '1' when tdc_register_01_i(15 downto 0) > x"0030" else '0';
+--       TDC_INT : tdc_interface
 --        port map (
---          clock     => tlk_clk,
---          en_clk    => '1',
---          signal_in => not_lvl1_busy_i,
---          pulse     => not_lvl1_busy_pulse);
---      ADO_TTL(2) <= 'Z';
---      ADO_TTL(3) <= 'Z';
---      ADO_TTL(15 downto 7) <= (others => 'Z');
---      ADO_TTL(0) <= 'Z';
-
---     TDC_RESET <= '0';--fpga_register_06_i(5);--'0';
---     reset_i <= not RESET_VIRT;
---     TOKEN_OUT <= token_out_i;
---     VIRT_TRST <= not fpga_register_06_i(5);--'1';
---    ADO_TTL(8) <= lvl1_busy_i;
---    ADO_TTL(9) <= ADO_TTL(3);
---    ADO_TTL(10) <=  tdc_register_01_i(0);
---    ADO_TTL(11) <= '1' when tdc_register_01_i(15 downto 0) > x"0030" else '0';
---      TDC_INT : tdc_interface
---       port map (
---         CLK                    => CLK,
---         TDC_CLK                => CLK,--tdc_clk,  --mdc addon or CLK 
---         RESET                  => external_reset_i,  --not RESET_VIRT,
---         --          TDC_RESET                => TDC_RESET,
---         TDC_DATA_IN            => x"0" & "00" & ADO_LV(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),  --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT,  --mdc addon or
---         START_TDC_READOUT      => '0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i,  --lvl1_tdc_trigg_i,
---         A_TDC_ERROR            => A_TDC_ERROR,
---         B_TDC_ERROR            => B_TDC_ERROR,
---         C_TDC_ERROR            => C_TDC_ERROR,
---         D_TDC_ERROR            => D_TDC_ERROR,
---         A_TDC_POWERUP          => open,   --A_TDC_POWERUP,
---         B_TDC_POWERUP          => open,   --B_TDC_POWERUP,
---         C_TDC_POWERUP          => open,   --C_TDC_POWERUP,
---         D_TDC_POWERUP          => open,   --D_TDC_POWERUP,
---         A_TDC_READY            => ADO_TTL(3),  --A_DATA_READY,mdc addon or
---         B_TDC_READY            => '0',  --ADO_TTL(3),  --B_DATA_READY,mdc addon or
---         C_TDC_READY            => '0',  --ADO_TTL(3),  --C_DATA_READY,mdc addon or
---         D_TDC_READY            => '0',  --ADO_TTL(3),  --D_DATA_READY,mdc addon or
---         SEND_TDC_TOKEN         => ado_ttl0_i,--ADO_TTL(1),-- mdc or addon
---         RECEIVED_TDC_TOKEN     => ADO_TTL(2),--TOKEN_IN,  --mdc addon or
---                                           --normal
---         GET_TDC_DATA           => GET_DATA,
---         TO_MANY_TDC_DATA       => to_many_tdc_data_i,
---         TDC_READOUT_COMPLETED  => tdc_readout_completed_i,
---         LVL1_TAG               => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i,  --apl_seqnr_out_i,  --tdc_tag_i,
---         LVL1_CODE              => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i,  --apl_data_out_i(3 downto 0),  --tdc_code_i,
---         HOW_MANY_ADD_DATA      => fpga_register_06_i(23 downto 16),  --how_many_add_data_i,
---         COUNTER_a              => timing_counter(31 downto 0),--test_counter_1,  --scaler_counter_0,  --x"12311231",
---         COUNTER_b              => timing_counter(63 downto 32),--scaler_counter(0),  --x"12321232",
---         COUNTER_c              => scaler_counter(1),  --x"12331233",
---         COUNTER_d              => scaler_counter(2),  --x"12341234",
---         COUNTER_e              => scaler_counter(3),  --x"12351235",
---         COUNTER_f              => scaler_counter(4),  --x"12361236",
---         COUNTER_g              => scaler_counter(5),  --x"12371237",
---         COUNTER_h              => scaler_counter(6),  --x"12381238",
---         LVL2_TRIGGER           => lvl2_trigger_i,  --lvl2_tdc_trigg_i, here
---         TDC_DATA_OUT           => tdc_data_out_i,
---         TDC_DATA_VALID         => tdc_data_valid_i,
---         ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
---         LVL1_BUSY              => lvl1_busy_i,
---         LVL2_BUSY              => lvl2_busy_i,
---         TDC_REGISTER_00        => tdc_register_00_i,
---         TDC_REGISTER_01        => tdc_register_01_i,
---         TDC_REGISTER_02        => tdc_register_02_i,
---         TDC_REGISTER_03        => tdc_register_03_i,
---         TDC_REGISTER_04        => tdc_register_04_i,
---         TDC_REGISTER_05        => fpga_register_0e_i,
---         BUNCH_RESET            => bunch_reset_i,
---         EVENT_RESET            => event_reset_i,
---         READ_ADRESS_END_UP     => trb_ack_lvl2_i,
---         DELAY_TRIGGER          => x"00",  --fpga_register_06_i(31 downto 24),
---         TDC_START              => trigger_to_tdc_i,
---         TRIGGER_WITHOUT_HADES  => fpga_register_06_i(7),
---         TRIGGER_WITH_GEN_EN    => fpga_register_06_i(8),
---         TRIGGER_WITH_GEN       => not_hades_trigger  --trigger_for_test_signal or generator_trigger
---         );
---     not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal;
---     a_trigg          <= trigger_to_tdc_i;
---     b_trigg          <= trigger_to_tdc_i;
---     c_trigg          <= trigger_to_tdc_i;
---     d_trigg          <= trigger_to_tdc_i;
---     A_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
---     B_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
---     C_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
---     D_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
---     DBAD         <= lvl1_busy_i;
---     DINT         <= etrax_bus_busy_i;
---     DWAIT        <= fpga_register_06_i(6);
---    MAKE_LONGER: process (CLK, external_reset_i)
---    begin  -- process MAKE_LONGER
---      if rising_edge(CLK) then
---        ado_ttl0_more <= ado_ttl0_i;
---      end if;
---    end process MAKE_LONGER;
---    ADO_TTL(1) <= ado_ttl0_more or ado_ttl0_i;
+--          CLK                    => CLK,
+--          TDC_CLK                => addon_clk,--CLK,--tdc_clk,  --mdc addon or CLK 
+--          RESET                  => external_reset_i,  --not RESET_VIRT,
+--          --          TDC_RESET                => TDC_RESET,
+--          TDC_DATA_IN            => x"0" & "00" & ADO_LV(25 downto 0), --x"0" & "00" & lvds_add_on_data(25 downto 0),  --x"0" & "00" & lvds_add_on_data(25 downto 0),--TDC_OUT,  --mdc addon or
+--          START_TDC_READOUT      => '0',--TLK_RX_DV,--rx_dv_pulse,--lvl1_trigger_i,  --lvl1_tdc_trigg_i,
+--          A_TDC_ERROR            => A_TDC_ERROR,
+--          B_TDC_ERROR            => B_TDC_ERROR,
+--          C_TDC_ERROR            => C_TDC_ERROR,
+--          D_TDC_ERROR            => D_TDC_ERROR,
+--          A_TDC_POWERUP          => open,   --A_TDC_POWERUP,
+--          B_TDC_POWERUP          => open,   --B_TDC_POWERUP,
+--          C_TDC_POWERUP          => open,   --C_TDC_POWERUP,
+--          D_TDC_POWERUP          => open,   --D_TDC_POWERUP,
+--          A_TDC_READY            => ADO_TTL(3),  --A_DATA_READY,mdc addon or
+--          B_TDC_READY            => '0',  --ADO_TTL(3),  --B_DATA_READY,mdc addon or
+--          C_TDC_READY            => '0',  --ADO_TTL(3),  --C_DATA_READY,mdc addon or
+--          D_TDC_READY            => '0',  --ADO_TTL(3),  --D_DATA_READY,mdc addon or
+--          SEND_TDC_TOKEN         => ado_ttl0_i,--ADO_TTL(1),-- mdc or addon
+--          RECEIVED_TDC_TOKEN     => ADO_TTL(2),--TOKEN_IN,  --mdc addon or
+--                                            --normal
+--          GET_TDC_DATA           => GET_DATA,
+--          TO_MANY_TDC_DATA       => to_many_tdc_data_i,
+--          TDC_READOUT_COMPLETED  => tdc_readout_completed_i,
+--          LVL1_TAG               => TLK_RXD_i(7 downto 0),--lvl1_trigger_tag_i,  --apl_seqnr_out_i,  --tdc_tag_i,
+--          LVL1_CODE              => TLK_RXD_i(11 downto 8),--lvl1_trigger_code_i,  --apl_data_out_i(3 downto 0),  --tdc_code_i,
+--          HOW_MANY_ADD_DATA      => fpga_register_06_i(23 downto 16),  --how_many_add_data_i,
+--          COUNTER_a              => x"12331233",--timing_counter(31 downto 0),--test_counter_1,  --scaler_counter_0,  --x"12311231",
+--          COUNTER_b              => x"12331233",--timing_counter(63 downto 32),--scaler_counter(0),  --x"12321232",
+--          COUNTER_c              => x"12331233",--scaler_counter(1),  --x"12331233",
+--          COUNTER_d              => x"12331233",--scaler_counter(2),  --x"12341234",
+--          COUNTER_e              => x"12331233",--scaler_counter(3),  --x"12351235",
+--          COUNTER_f              => x"12331233",--scaler_counter(4),  --x"12361236",
+--          COUNTER_g              => x"12331233",--scaler_counter(5),  --x"12371237",
+--          COUNTER_h              => x"12331233",--scaler_counter(6),  --x"12381238",
+--          LVL2_TRIGGER           => lvl2_trigger_i,  --lvl2_tdc_trigg_i, here
+--          TDC_DATA_OUT           => tdc_data_out_i,
+--          TDC_DATA_VALID         => tdc_data_valid_i,
+--          ETRAX_IS_READY_TO_READ => etrax_is_ready_to_read_i,
+--          LVL1_BUSY              => lvl1_busy_i,
+--          LVL2_BUSY              => lvl2_busy_i,
+--          TDC_REGISTER_00        => tdc_register_00_i,
+--          TDC_REGISTER_01        => tdc_register_01_i,
+--          TDC_REGISTER_02        => tdc_register_02_i,
+--          TDC_REGISTER_03        => tdc_register_03_i,
+--          TDC_REGISTER_04        => tdc_register_04_i,
+--          TDC_REGISTER_05        => fpga_register_0e_i,
+--          BUNCH_RESET            => bunch_reset_i,
+--          EVENT_RESET            => event_reset_i,
+--          READ_ADRESS_END_UP     => trb_ack_lvl2_i,
+--          DELAY_TRIGGER          => x"00",  --fpga_register_06_i(31 downto 24),
+--          TDC_START              => trigger_to_tdc_i,
+--          TRIGGER_WITHOUT_HADES  => fpga_register_06_i(7),
+--          TRIGGER_WITH_GEN_EN    => fpga_register_06_i(8),
+--          TRIGGER_WITH_GEN       => not_hades_trigger  --trigger_for_test_signal or generator_trigger
+--          );
+--      not_hades_trigger <= ext_trigger_norm or ext_trigger_cal or trigger_for_test_signal;
+--      a_trigg          <= trigger_to_tdc_i;
+--      b_trigg          <= trigger_to_tdc_i;
+--      c_trigg          <= trigger_to_tdc_i;
+--      d_trigg          <= trigger_to_tdc_i;
+--      A_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+--      B_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+--      C_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+--      D_TDC_POWERUP  <=  '1';       --in trbv2c this is diod
+--      DBAD         <= lvl1_busy_i;
+--      DINT         <= etrax_bus_busy_i;
+--      DWAIT        <= fpga_register_06_i(6);
+--     MAKE_LONGER: process (CLK, external_reset_i)
+--     begin  -- process MAKE_LONGER
+--       if rising_edge(CLK) then
+--         ado_ttl0_more <= ado_ttl0_i;
+--       end if;
+--     end process MAKE_LONGER;
+--     ADO_TTL(1) <= ado_ttl0_more or ado_ttl0_i;
+--     ADO_TTL(19 downto 16) <= ADO_LV(3 downto 0);
+--     ADO_TTL(23 downto 20) <= tdc_register_02_i(3 downto 0);
+--     ADO_TTL(27 downto 24) <= tdc_data_out_i(3 downto 0);
+--     ADO_TTL(28) <= etrax_is_ready_to_read_i;
+--     ADO_TTL(29) <= tdc_data_valid_i;
+    
 -- normal mdc
    
 ---- self mdc
@@ -1730,7 +1842,7 @@ begin
       FPGA_REGISTER_0D       => fpga_register_0d_i,
       FPGA_REGISTER_0E       => fpga_register_0e_i,
       EXTERNAL_RESET         => external_reset,
-      LVL2_VALID             => '0'     --lvl2_trigger_code_i(3)
+      LVL2_VALID             => lvl2_trigger_code_i(3)
       );
   fpga_register_01_i <= x"0" & "00" & lvds_add_on_data(25 downto 0);--tdc_register_00_i;
   fpga_register_02_i <= tdc_register_01_i;--tdc_data_valid_i & write_lvl1_busy_i & lvl2_busy_fast & lvl2_busy_i & tdc_lvl2_busy_i & tdc_lvl1_busy_i & lvl1_busy_i & trigger_register_00_i(11 downto 0) & sdram_register_00_i(5 downto 0) & dsp_register_00_i(2 downto 0);
@@ -1741,7 +1853,7 @@ begin
   fpga_register_0a_i <= tlk_register_01_i;
   fpga_register_0b_i <= "00" & rx_dv_pulse & opt_busy & lvl1_trigger_code_i & lvl1_trigger_tag_i & x"00" & lvl1_trigger_tag_i;
 --  fpga_register_0b_i <= saved_txd & x"00" & apl_seqnr_out_i;
-  fpga_register_0c_i <= "00"& TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
+  fpga_register_0c_i <= opt_synch_stat & "0" & TLK_RX_DV & TLK_RX_ER & x"000"& TLK_RXD;
 --  fpga_register_0c_i <= med_data_in_i_saved(63 downto 32);--stat_reply_buffer_i;
 --  fpga_register_0d_i <= med_data_in_i_saved(31 downto 0);--stat_init_buffer_i;
   SYNCH_RESET: process (CLK)