DATA_OUT : out std_logic_vector(c_DATA_WIDTH - 1 downto 0);
PACKET_NUM_OUT : out std_logic_vector(1 downto 0);
READ_ENABLE_IN : in std_logic;
- DATA_COUNT_OUT : out std_logic_vector(9 downto 0);
+ DATA_COUNT_OUT : out std_logic_vector(10 downto 0);
FULL_OUT : out std_logic;
EMPTY_OUT : out std_logic
);
din(c_DATA_WIDTH + 2 -1 downto c_DATA_WIDTH) <= PACKET_NUM_IN;
DATA_OUT <= dout(c_DATA_WIDTH - 1 downto 0);
PACKET_NUM_OUT <= dout(c_DATA_WIDTH + 2 - 1 downto c_DATA_WIDTH);
- DATA_COUNT_OUT <= data_counter;
+ DATA_COUNT_OUT <= '0' & data_counter;
gen_FIFO6_Count : if DEPTH = 6 and USE_DATA_COUNT = 1 generate
fifo:xilinx_fifo_18x1k_datacount