REGIO_TIMEOUT_OUT : out std_logic;
EXTERNAL_SEND_RESET : in std_logic := '0';
TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
-
+ TEMPERATURE_OUT : out std_logic_vector (11 downto 0);
+
-- Debug and Status Ports ----------------------------------------------------------
HUB_STAT_CHANNEL : out std_logic_vector (4*16-1 downto 0);
HUB_STAT_GEN : out std_logic_vector (31 downto 0);
REGIO_TIMEOUT_OUT : out std_logic;
EXTERNAL_SEND_RESET : in std_logic := '0';
TIMER_TICKS_OUT : out std_logic_vector(1 downto 0);
+ TEMPERATURE_OUT : out std_logic_vector (11 downto 0);
-- Debug and Status Ports ----------------------------------------------------------
HUB_STAT_CHANNEL : out std_logic_vector (4*16-1 downto 0);
REGIO_UNKNOWN_ADDR_IN => regio_unknown_addr_i,
REGIO_TIMEOUT_OUT => regio_timeout_i,
TIMER_TICKS_OUT => timer_ticks,
+ TEMPERATURE_OUT => TEMPERATURE_OUT,
ONEWIRE => ONEWIRE,
ONEWIRE_MONITOR_IN => ONEWIRE_MONITOR_IN,
ONEWIRE_MONITOR_OUT=> ONEWIRE_MONITOR_OUT,