SFP_LOS : in std_logic;
SFP_MOD_0 : in std_logic;
--AddOn
--- FE_GPIO : inout std_logic_vector(11 downto 0);
+ FE_GPIO : inout std_logic_vector(11 downto 0);
-- FE_CLK : out std_logic_vector( 2 downto 1);
-- FE_DIFF : inout std_logic_vector(63 downto 0);
INP : in std_logic_vector(63 downto 0);
IOBUF PORT "SFP_ADD_MOD0" IO_TYPE=LVTTL33 PULLMODE=NONE ;
IOBUF PORT "SFP_ADD_LED" IO_TYPE=LVCMOS25 ;
-# DEFINE PORT GROUP "FE_GPIO_group" "FE_GPIO*" ;
-# IOBUF GROUP "FE_GPIO_group" IO_TYPE=LVCMOS25 PULLMODE=UP;
+LOCATE COMP "FE_GPIO_0" SITE "A18";
+LOCATE COMP "FE_GPIO_1" SITE "C18";
+LOCATE COMP "FE_GPIO_2" SITE "D18";
+LOCATE COMP "FE_GPIO_3" SITE "F18";
+LOCATE COMP "FE_GPIO_4" SITE "A19";
+LOCATE COMP "FE_GPIO_5" SITE "B19";
+LOCATE COMP "FE_GPIO_6" SITE "C19";
+LOCATE COMP "FE_GPIO_7" SITE "D19";
+LOCATE COMP "FE_GPIO_8" SITE "E19";
+LOCATE COMP "FE_GPIO_9" SITE "F19";
+LOCATE COMP "FE_GPIO_10" SITE "A20";
+LOCATE COMP "FE_GPIO_11" SITE "C20";
+DEFINE PORT GROUP "FE_GPIO_group" "FE_GPIO*" ;
+IOBUF GROUP "FE_GPIO_group" IO_TYPE=LVCMOS25 PULLMODE=UP;
LOCATE COMP "SCK" SITE "C5";#"FE_CLK_1"