-- synthesis translate_on
ENTITY xilinx_fifo_18x1k IS
port (
- clk: IN std_logic;
din: IN std_logic_VECTOR(17 downto 0);
+ rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
+ wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(17 downto 0);
empty: OUT std_logic;
-- synthesis translate_off
component wrapped_xilinx_fifo_18x1k
port (
- clk: IN std_logic;
din: IN std_logic_VECTOR(17 downto 0);
+ rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
+ wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(17 downto 0);
empty: OUT std_logic;
c_din_width => 18,
c_has_wr_data_count => 0,
c_full_flags_rst_val => 1,
- c_implementation_type => 0,
- c_family => "virtex2",
+ c_implementation_type => 2,
+ c_family => "virtex4",
c_use_embedded_reg => 0,
c_has_wr_rst => 0,
c_wr_freq => 1,
- c_use_dout_rst => 1,
+ c_use_dout_rst => 0,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
- c_common_clock => 1,
+ c_common_clock => 0,
c_rd_pntr_width => 10,
c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
- c_prog_full_thresh_negate_val => 1019,
+ c_prog_full_thresh_negate_val => 1020,
c_wr_depth => 1024,
- c_prog_empty_thresh_negate_val => 1020,
- c_prog_empty_thresh_assert_val => 1019,
+ c_prog_empty_thresh_negate_val => 3,
+ c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 1021,
-- synthesis translate_off
U0 : wrapped_xilinx_fifo_18x1k
port map (
- clk => clk,
din => din,
+ rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
+ wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
--- (c) Copyright 1995-2007 Xilinx, Inc. --
+-- (c) Copyright 1995-2005 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file xilinx_fifo_dualport_18x1k.vhd when simulating
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
--- The synthesis directives "translate_off/translate_on" specified
--- below are supported by Xilinx, Mentor Graphics and Synplicity
+-- The synopsys directives "translate_off/translate_on" specified
+-- below are supported by XST, FPGA Compiler II, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
--- synthesis translate_off
+-- synopsys translate_off
Library XilinxCoreLib;
--- synthesis translate_on
+-- synopsys translate_on
ENTITY xilinx_fifo_dualport_18x1k IS
port (
- clk: IN std_logic;
din: IN std_logic_VECTOR(17 downto 0);
+ rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
+ wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(17 downto 0);
empty: OUT std_logic;
- full: OUT std_logic);
+ full: OUT std_logic;
+ prog_empty: OUT std_logic;
+ valid: OUT std_logic;
+ underflow: OUT std_logic);
END xilinx_fifo_dualport_18x1k;
ARCHITECTURE xilinx_fifo_dualport_18x1k_a OF xilinx_fifo_dualport_18x1k IS
--- synthesis translate_off
+-- synopsys translate_off
component wrapped_xilinx_fifo_dualport_18x1k
port (
- clk: IN std_logic;
din: IN std_logic_VECTOR(17 downto 0);
+ rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
+ wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(17 downto 0);
empty: OUT std_logic;
- full: OUT std_logic);
+ full: OUT std_logic;
+ prog_empty: OUT std_logic;
+ valid: OUT std_logic;
+ underflow: OUT std_logic);
end component;
-- Configuration specification
- for all : wrapped_xilinx_fifo_dualport_18x1k use entity XilinxCoreLib.fifo_generator_v4_2(behavioral)
+ for all : wrapped_xilinx_fifo_dualport_18x1k use entity XilinxCoreLib.fifo_generator_v2_1(behavioral)
generic map(
- c_has_int_clk => 0,
- c_rd_freq => 1,
c_wr_response_latency => 1,
- c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 18,
c_has_wr_data_count => 0,
- c_full_flags_rst_val => 1,
- c_implementation_type => 0,
+ c_implementation_type => 2,
c_family => "virtex2",
- c_use_embedded_reg => 0,
c_has_wr_rst => 0,
- c_wr_freq => 1,
- c_use_dout_rst => 1,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_rd_depth => 1024,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
- c_has_underflow => 0,
+ c_has_underflow => 1,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
- c_data_count_width => 10,
+ c_data_count_width => 2,
c_has_wr_ack => 0,
- c_use_ecc => 0,
c_wr_ack_low => 0,
- c_common_clock => 1,
+ c_common_clock => 0,
c_rd_pntr_width => 10,
- c_use_fwft_data_count => 0,
c_has_almost_empty => 0,
- c_rd_data_count_width => 10,
+ c_rd_data_count_width => 2,
c_enable_rlocs => 0,
c_wr_pntr_width => 10,
c_overflow_low => 0,
- c_prog_empty_type => 0,
+ c_prog_empty_type => 1,
c_optimization_mode => 0,
- c_wr_data_count_width => 10,
+ c_wr_data_count_width => 2,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
- c_prog_full_thresh_negate_val => 1019,
+ c_prog_full_thresh_negate_val => 768,
c_wr_depth => 1024,
- c_prog_empty_thresh_negate_val => 1020,
- c_prog_empty_thresh_assert_val => 1019,
- c_has_valid => 0,
+ c_prog_empty_thresh_negate_val => 256,
+ c_prog_empty_thresh_assert_val => 10,
+ c_has_valid => 1,
c_init_wr_pntr_val => 0,
- c_prog_full_thresh_assert_val => 1021,
- c_use_fifo16_flags => 0,
+ c_prog_full_thresh_assert_val => 768,
c_has_backup => 0,
c_valid_low => 0,
- c_prim_fifo_type => "1kx18",
+ c_prim_fifo_type => 1024,
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
--- synthesis translate_on
+-- synopsys translate_on
BEGIN
--- synthesis translate_off
+-- synopsys translate_off
U0 : wrapped_xilinx_fifo_dualport_18x1k
port map (
- clk => clk,
din => din,
+ rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
+ wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
- full => full);
--- synthesis translate_on
+ full => full,
+ prog_empty => prog_empty,
+ valid => valid,
+ underflow => underflow);
+-- synopsys translate_on
END xilinx_fifo_dualport_18x1k_a;
+++ /dev/null
-XILINX-XDB 0.1 STUB 0.1 ASCII
-XILINX-XDM V1.4e
-$20b\7f41<,[o}e~g`n;"2*447&;:%>-*>;17845678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;=6?<;0,356=683CE\XZ5AEFQE9?=87;87<>5IORVP?GCL[H757>11g924?OIX\^1|\7fah_dosp|Ys`{oxd1750?05?46=AGZ^X7~}of]fiur~W}byi~fParqfvq:>2949:6??:HLSQQ<wzfmTi`~{y^vkv`uoWgolmyk39;2=5`=683CE\XZ5psmd[cskdV~c~h}g<883:73<990BB][[:qplcZ`rdeU\7fd\7fk|h^cpw`ts400;2?;4118JJUSS2yxdkRhzlm]wlwct`Vdnklzj<883:4?<990DYY^ZT;fbpdYdg|d\7f044?>0a855<H]]Z^X7|k_ecweZeh}g~757>11b924?IR\Y__6z|Pd`vb[firf}626=0>2:35>LHW]]0OEL2>5;2=57=6>3CE\XZ5DH@?50<76890=;4@UURVP?BHI5;>6=0>3:35>JSSX\^1HBL31483:44<910BB][[:ekm841=87;?7<65OTVSQQ<cg|~7=:4?>0910>47;9;:7?4FNQWW>AOWI5;1<3?>;38JJUSS2MC[N1?50?31?7<H]]Z^X7J@P@>2>586:281CXZ_UU8GKUD;93:5h6<y2123bb00>$<:79:455F@5>>330:;5?5N299B@ATF49437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF414j7LJKR@>:>58?3HNO^L26>99B@ATE49437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE414j7LJKRC>:>58?3HNO^O26>89BW\HDW[OL>6LN3:@V6==E]ZUBBKA>;B08G@4<K@>0OAEN4:AOOG5<KEX27NABMHVWAA1<K[OJXHJ>;E08@C4<L@<0HDO30?58@LG;994<7IGN<03=3>BNI5;92:5KI@>27;1<L@K7=906;EKB843=87=0HDO314<5?AOF484=7IGN<3<5?AOF4:4=7IGN<5<5?AOF4<4=7IGN<7<5?AOF4>4=7IGN<9<5?AOF404=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:19:FJF972294<7IGM<07=2>BNJ5;5:6JFB=0=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ535;6JFP@>3:<=CAYK7=7>17:FJTD:66>1OE]L30?;8@LVE480;2:5KIQ@?5;0<LFK7<394DNC?55803MEJ0<?17:FLE9756>1OCL2>3?58@JG;9=427IAN<0794;1<LFK7=809;EMB84813MEJ0?09;EMB86813MEJ0909;EMB80813MEJ0;09;EMB82813MEJ0509;EMB8<813MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>556J@B=36>5803MEI0<;16:FLF979>2NDN1<16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2ND\L2?>89GKUG;93:5;6J@P@>2:2=CGYH7<374DNRA84<76>1OC]L31?18AKG43LDI86H78908BA2<NMIN?6HKP59E@UC23Okg\7fh?4G29DJA4<A980E<<4I308M6><AGC_\R>?8:KMMQVX8820ECG[P^21<>OIA]ZT<>64IOKWTZ6302CEEY^P04:8MKOSXV:=46GAIUR\42?<AGC__YO[E69JJLRX89=0ECG[_134?LHN\V:9;6GAIU]372=NF@^T<994IOKW[5303@DBXR>97:KMMQY7?>1BBDZP0958MKOSW93<7D@FT^2B3>OIA]U;N:5FNHV\4F1<AGC_S=J8;HLJPZ6B?2CEEYQ?F69JJLRX99=0ECG[_034?LHN\V;9;6GAIU]272=NF@^T=994IOKW[4303@DBXR?97:KMMQY6?>1BBDZP1958MKOSW83<7D@FT^3B3>OIA]U:N:5FNHV\5F1<AGC_S<J8;HLJPZ7B?2CEEYQ>F69JJLRX:9=0ECG[_334?LHN\V89;6GAIU]172=NF@^T>994IOKW[7303@DBXR<97:KMMQY5?>1BBDZP2958MKOSW;3<7D@FT^0B3>OIA]U9N:5FNHV\6F1<AGC_S?J8;HLJPZ4B?2CEEYQ=F69JJLRX;9=0ECG[_234?LHN\V99;6GAIU]072=NF@^T?994IOKW[6303@DBXR=97:KMMQY4?>1BBDZP3958MKOSW:3<7D@FT^1B3>OIA]U8N:5FNHV\7F1<AGC_S>J8;HLJPZ5B?2CEEYQ<F79JJLRXI?1BBDZPB29JKG4<D@80@B84LNCGAA1<DFMBOLB;;MWW51=K]]8=7A[[2^N7?ISS;?1GYY=PL59OQQ223D_SOTm4M`hlvScu{`eeo6CfnnpUawungg80B<=4N027?K77<=1E==;;;O3321=I99=?7C??859M55?43G;:86@>1168J476<2D:=?:4N0300>H69=>0B<?:4:L2532<F8;<86@>1968J47>;2D:>95A1327?K759=1E=?<;;O3176=I9:90B<:<;O367>H6>:1E=:=4N0:0?K7>:2D9?6@=029M645<F;887C<<3:L677=I>;1E;?5A829M<05<F1=97C7<;O;3b>HEWK_X\D@PPSMSW2=IM]]D^F:4NNLF5>I53FA:7]:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U`=UIDH::R]>8^Q2<4=T9:1XE@QLOMNJWLIIWJBNOF84SNWQG@1<[[\J@RO8;RPUEIYE<2YX^L:4SRPA0>R^XL827X> gsd-vc)`d9$yh"i}sr,qwqu(k8%hm\7f|vndv?4;4>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-`ewt~fl~7>3<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!laspzj`r;;78m7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!hmtz-ch]5U'mf\7f#c|2g9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;878?7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1>11318Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28485<2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~86:2<<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=0=61=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;:7;9?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2<>368Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28686::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;783<;;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=6=57?<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*efz{seiy2?>3;8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.abvw\7fim}6:2?74U1-dvc(un&mg<#|k/fppw+tt|z%h>"mnrs{maq:56;30Y=!hrg,qb*ak8'xo#j||s/pppv)d:&ij~\7fwaeu>0:7`<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(od\7fs"jcT1\,div(j{;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$k`{w.foX7X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fppw+tt|z%h>"ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0>3:75<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p64849?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<2=>318Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.t28685;2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(~86?2?l4U1-dvc(un&mg<#|k/fppw+tt|z%h>"x>_1]bja6789;9n6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&|:S?Qnne234575j2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(~8U8Sl`k012357d<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p6W=Ujbi>?01314>S7'nxm"\7fh gm2-va)`zzy%~~z|/bmnt5473\:$k\7fh!rg-dh5(ul&my\7f~ }suq,gjkw9;30Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\m67<]9%l~k }f.eo4+tc'nxx\7f#||tr-qehYbey~rSklPi^ov|5678::0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\mZiu89:;?95Z0.eqb+ta'nf;"\7fj gsqp*wus{&xjaRkbpu{\bgYnWfx;<=>PSV212>S7'nxm"\7fh gm2-va)`zzy%~~z|/scn[`kw|pUb=i5Z0.eqb+ta'nf;"\7fj r`o\vaYbfVc:>>5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu07?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs9;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz=259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq55<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex9<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw172<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~=>95Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu510>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|18?7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{93;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:76Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}979:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<3<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;;7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:36;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;?78h7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?3;Yu|;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>;:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot27>^pw6d=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Usc\7f2?>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7=3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4;49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv959:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>7:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|35?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0;0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5=5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:?6;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?=;4c3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<8<\vq7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9699o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;97;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|585=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7?3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1:11g9V4*aun'xm#jb?.sf,vuhsz&idycz35?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=4=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?3;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9>99o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;17;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V::i6[?/fpe*w`(oe:%~i!}povq+firf}U:=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T><k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS>?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR:>e:W3+bta&{l$ka>!re-qtkru'je~byQ:1d9V4*aun'xm#jb?.sf,vuhsz&idyczP60g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_63f?P6(o{l%~k!hl1,q`*twf}x$ob{at^:2a>S7'nxm"\7fh gm2-va)uxg~y#naznu]:67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:76;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;7=3<=;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><3<16>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5959:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86?2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?35?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28385:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95=5>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<27>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?=;463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W98:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S<<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_302?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[6463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W=8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S8<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_702?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[2463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W18:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S4<6;T2,cw`)zo%l`= xr.etwv(p{}y$o=!laspzj`r;87827X> gsd-vc)`d9$|~"ixsr,twqu(k9%hm\7f|vndv?5;4>3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)di{xrbhz32?0:?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-`ewt~fl~7?3<i;T2,cw`)zo%l`= xr.etwv(p{}y$o=!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m?/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*rt(o~yx"z}{s.a3+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)`e|r%k`U;]/enw+kt:01^<"i}f/pe+bj7&~x$kz}|.vqww*e6'jky~t`jt=2=6<=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+fguzpdnx1?1289V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/bcqv|hb|585>45Z0.eqb+ta'nf;"z| gvqp*rus{&i:#no}rxlfp959:o1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$|~"ixsr,twqu(k8%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= xr.etwv(p{}y$o<!hmtz-ch]4U'mf\7f#c|2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-u5969::1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'\7f;7=3<<;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1=0=66=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+s7;;7887X> gsd-vc)`d9$|~"ixsr,twqu(k8%}=1:12c9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/w3\4Zgil9:;<<<m;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1^3\ekb789::>o5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#{?P2^cm`567888i7X> gsd-vc)`d9$|~"ixsr,twqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'\7f;T8Road12344473\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,gjkw8;:0Y=!hrg,qb*ak8'}y#jy|s/uppv)dgdz:>55Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]`khd5i2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+wgjWo\7fg`Rm`mc366<=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l=2`9V4*aun'xm#jb?.vp,crut&~y\7f\7f"|nm^dvhiYdgdh98?74U1-dvc(un&mg<#y}/fupw+qt|z%ym`Qiumn\gjke1;n0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[hgw9;n0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[hgw=;n0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[hgw0;20Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPfc]j60=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUb>95Z0.eqb+ta'nf;"z| gvqp*rus{&}yS\7f}{_e32`>S7'nxm"\7fh gm2-sw)uidU|~Rka_h317>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex><;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw072<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~>>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu410>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|>8?7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{8368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr>:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1>1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;:78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5>5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?2;4d3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw36?]qp7?<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq525>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}9>9W{~9m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv969:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>2:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|32?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0>0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5>5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:26;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?2;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<6<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1612`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{622?j4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;17Uyx<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0=0>f:W3+bta&{l$ka>!ws-ttkru'je~by2>>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<3<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>0:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8186n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:268l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4?4:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}6<2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f050>f:W3+bta&{l$ka>!ws-ttkru'je~by26>0g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_13f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^32a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]15`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\74c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[17b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ36m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY19l1^<"i}f/pe+bj7&~x${}`{r.alqkrX?8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW1;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V39>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=1>1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>2:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;:7897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0>0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=6=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:26;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7:3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><6<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g59>9:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8622??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T???4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P4338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\177<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X>;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T;??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P8338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\=31<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX4X(uid$y#{<!r`o,`u7?p82%~lc>b:W3+bta&{l$ahc tlr\vdkXzmUnb<m4U1-dvc(un&gna"zbp^pbiZtcWld:=>5Z0.eqb+ta'{kfS\7fjPeo30?P6(o{l%~k!}al]tvZci9m1^<"i}f/pe+wusjea$~iQ}su]bwwc`:91^<"i}f/pe+wusjea$~iQ}su]bwwc`Wm;9<6[?/fpe*w`(zz~i`f!}d^pppZgtzlmTh??l;T2,cw`)zo%y\7fylck.pg[wusWjefn<j4U1-dvc(un&xxxobd/sf\vvrXkfgi=<k4U1-dvc(un&xxxobd/sf\vvrXzlm7<3?j;T2,cw`)zo%y\7fylck.pg[wusW{ol0?0>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR>>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR<>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{y\7fSl}}ef]g64e<]9%l~k }f.pppgjl'~xT~~zPcnoa5a=R8&myj#|i/sqwfim(\7f{Uy\7fyQlol`25`=R8&myj#|i/sqwfim(\7f{Uy\7fyQ}ef>2:4b<]9%l~k }f.pppgjl'~xT~~zPrde\5d=R[LXTMAGNSb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K<;VGB7>QBJk1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@119[[FIUMVCEJBQCIRV5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i\7f}fooa8eikh{}Una}zvb:coijusWo\7fg`;5mabivta=eija~|Rcnrjgq7>ekcm1omyoPcnwmp-6.l2njxlQlotlw,4/c3mk\7fmRm`uov+6,b<lh~jSnaznu*0-a=ci}kTob{at)6*`>bf|hUhcx`{(4+g?agsiVidycz'6(f8`drfWje~by&8)e9geqgXkf\7fex%6&d:fbpdYdg|d\7f$4'i;ecweZeh}g~757>17:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)79gmk.5!?1oec&<)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk.?!?1oec&6)79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;1<l`d7=808;ekm840912nbb1?8:1<4?aoi48=5:6jfn=3=2>bnf585:6jfn=1=2>bnf5>5:6jfn=7=2>bnf5<5:6jfn=5=2>bnf525:6jfn=;=3>bh}}";%:5kotv+5,><lf\7f\7f$<>&8:flqq.69 20hb{{(00*<>bh}}":?$64dnww,42.02ndyy&>5(:8`jss 8<"46j`uu*23,1<lf\7f\7f$?'8;emvp-5.?2ndyy&;)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$94dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=2l5kotv?52<7611ocxz316<4?air|5;5;6j`uu>1:2=cg|~7?394dnww81803me~x1;17:flqq:16>1ocxz37?58`jss414<7iazt=;=<>ccao8eki;4elrw}7><n`ldSjkaescwkwYq<V8',Na}efgm$<(5&9>bSd~=4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|\7fah_dosp|Ys`{oxd%8&159svjaXmdz\7fuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-40<x{elShc\7ftx]wlwct`531<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5\7frne\ahvsqV~c~h}g_`qpawr/9 ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-4.9k1{~biPelrw}ZrozlycSl}|esv+7,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)6*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'5(3a?uthoVof|ywPtipfwmYf{zoyx%8&1c9svjaXmdz\7fuRzgrdqk[dutm{~#;$?m;qplcZcjx}sTxe|jsi]bwvcu|!2"=o5\7frne\ahvsqV~c~h}g_`qpawr/1 ;o7}|`g^gntq\7fX|axn\7feQnsrgqp9?=87;i7}|`g^gntq\7fX|axn\7feQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnk\7fi%:&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5\7frne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntq\7fX|axn\7feQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7c3yxdkRkbpu{\pmtb{aUeijo{e=;94;?<x{elSk{cl018twi`Wo\7fg`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|\7fah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axn\7fe&8)018twi`Wo\7fg`Rzgrdqk,=/6;2zycjQiumn\pmtb{a"2%<;4psmd[cskdV~c~h}g<883:4g<x{elSk{cl^vkv`uoWhyxi\7fz'0(3b?uthoVl~`aQ{hsgplZgt{lx\7f$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5\7frne\bpjkW}byi~fParqfvq.4!8k0|\7fah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw,</6k2zycjQiumn\pmtb{aUj\7f~k}t=;94;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnk\7fi%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;j7}|`g^dvhiYs`{oxdR`jg`vf,1/6i2zycjQiumn\pmtb{aUeijo{e)7*5d=wzfmTjxbc_ujqavnXflmjxh&9)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#;$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 1#:m6~}of]eqijX|axn\7feQaefcwa-?.9j1{~biPftno[qnumzbTbhintd>:>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;;7\7fjPd`vb[firf}"2%<>4re]geqgXkf\7fex1>1139q`Zbf|hUhcx`{<883:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus9m1\7fa}!Pcf-{mioipVlbjbQ>8y3h*kah12\7fehh|ilnu6?sgkam<0{\7fQncj48swYddb;;7z|Pd`vb[firf}";%<>4ws]geqgXkf\7fex%?&119tvZbf|hUhcx`{(3+24>quWmk\7fmRm`uov+7,773~xThlzn_bmvjq.3!8:0{\7fQkauc\gjsi|!?"==5xr^fbpdYdg|d\7f$;'>0:uq[agsiVidycz'7(33?rtXlh~jSnaznu*;-46<\7f{UomyoPcnwmp-?.9;1|~Rjnt`]`kphs400;285xr^gm2>quW{y\7f=<5wimkm|Z`nnfU:4u?d2c9{mioipVlbjbQ>8y3h[coagVmnbh|ntnp\r1Y5Wqy\7fS<sO@q434>FGp:;=6K4;:0yP01<5j00>6<==6742>4bf<mqe>8m51:l11a<33-8>m7<:3:\7fP06<5j00>6<==6742>4bf<m1Xj94=bd83>455>?<:6<jn4d9P06<5jl0;6<==6742>4bf<l1o??650;395~U3<38i57;51205237=9mk?h6*=5981fg=q\8926=4>:08`<~U3<38i57;51205237=9mk?h6*=4e8e1>P5=k09wxhk:09vb`<73t.:?<4>d:`06=<72;k1?7<n{I07f>\4k38p=;4>5;\7f'56c=;;20(?;7:3`a?_42138p>ol52c`9yl5693:17d==6;29?j4ek3:17b<ma;29?l41:3:17d=>3;29?j4>l3:1(<=<:3cf?k74:3:07b<6c;29 4542;kn7c?<2;38?j4>j3:1(<=<:3cf?k74:3807b<6a;29 4542;kn7c?<2;18?j4>13:1(<=<:3cf?k74:3>07b<68;29 4542;kn7c?<2;78?j4>?3:1(<=<:3cf?k74:3<07b<66;29 4542;kn7c?<2;58?j4f=3:1(<=<:3cf?k74:3207b<n4;29 4542;kn7c?<2;;8?j4f;3:1(<=<:3cf?k74:3k07b<n2;29 4542;kn7c?<2;`8?j4f93:1(<=<:3cf?k74:3i07b<n0;29 4542;kn7c?<2;f8?j4>n3:1(<=<:3cf?k74:3o07b<6e;29 4542;kn7c?<2;d8?j4>=3:1(<=<:3cf?k74:3;;76a=9583>!74;38ji6`>33825>=n:>21<7*>3281<<=i9:81<65f26594?"6;:09445a12095>=n:><1<7*>3281<<=i9:81>65f26794?"6;:09445a12097>=n:>>1<7*>3281<<=i9:81865f26194?"6;:09445a12091>=n:>81<7*>3281<<=i9:81:65f26394?"6;:09445a12093>=n:1:1<7*>3281<<=i9:81465f26d94?"6;:09445a1209=>=n:>o1<7*>3281<<=i9:81m65f26f94?"6;:09445a1209f>=n:>i1<7*>3281<<=i9:81o65f26`94?"6;:09445a1209`>=n:>k1<7*>3281<<=i9:81i65f26;94?"6;:09445a1209b>=n:>:1<7*>3281<<=i9:81==54i34e>5<#9:91>574n011>47<3k8?o7>51;294~N5<k1/=>k525a8k4572900qoo>:182>5<7sA8?n6*>3d8b5>if83:17pl<b;29=3<f93i3wE<;b:X0g?71s10h6k46:029f?7128?1h7k5a;g955<6>3l157?::e8`>g<f210v(<=j:20:?!`620l0(?;7:3`a?!75j3;9j6a=5d83>>o49;0;66g=c`83>!74;38hn6`>3383?>o5k00;6)?<3;0`f>h6;;0:76g=c983>!74;38hn6`>3381?>o5k>0;6)?<3;0`f>h6;;0876g=c783>!74;38hn6`>3387?>o5k<0;6)?<3;0`f>h6;;0>76g=c583>!74;38hn6`>3385?>o5k:0;6)?<3;0`f>h6;;0<76g=c383>!74;38hn6`>338;?>o5k80;6)?<3;0`f>h6;;0276a=e383>>o49<0;66a<1983>>o5n>0;6)?<3;0e<>h6;;0;76g=f783>!74;38m46`>3382?>o5n<0;6)?<3;0e<>h6;;0976g=f583>!74;38m46`>3380?>o5n:0;6)?<3;0e<>h6;;0?76g=f383>!74;38m46`>3386?>o5n80;6)?<3;0e<>h6;;0=76g=f183>!74;38m46`>3384?>o5mo0;6)?<3;0e<>h6;;0376g=ed83>!74;38m46`>338:?>o4980;66a=c183>>i5jo0;66g=6083>>i48l0;6)?<3;124>h6;;0;76a<0e83>!74;39:<6`>3382?>i48j0;6)?<3;124>h6;;0976a<0c83>!74;39:<6`>3380?>i48h0;6)?<3;124>h6;;0?76a<0883>!74;39:<6`>3386?>i4810;6)?<3;124>h6;;0=76a<0683>!74;39:<6`>3384?>i48?0;6)?<3;124>h6;;0376a<0483>!74;39:<6`>338:?>o5l<0;6)?<3;0g2>h6;;0;76g=d583>!74;38o:6`>3382?>o5l:0;6)?<3;0g2>h6;;0976g=d383>!74;38o:6`>3380?>o5l80;6)?<3;0g2>h6;;0?76g=d183>!74;38o:6`>3386?>o5ko0;6)?<3;0g2>h6;;0=76g=cd83>!74;38o:6`>3384?>o5km0;6)?<3;0g2>h6;;0376g=cb83>!74;38o:6`>338:?>o4:?0;66a<2583>!74;39996`>3383?>i4::0;6)?<3;111>h6;;0:76a<2383>!74;39996`>3381?>i4:80;6)?<3;111>h6;;0876a<2183>!74;39996`>3387?>i49o0;6)?<3;111>h6;;0>76a<1d83>!74;39996`>3385?>i49m0;6)?<3;111>h6;;0<76a<1b83>!74;39996`>338;?>i49k0;6)?<3;111>h6;;0276a=bb83>>i5jh0;66g<1`83>>o5mj0;6)?<3;0f`>h6;;0;76g=ec83>!74;38nh6`>3382?>o5mh0;6)?<3;0f`>h6;;0976g=e883>!74;38nh6`>3380?>o5m10;6)?<3;0f`>h6;;0?76g=e683>!74;38nh6`>3386?>o5m?0;6)?<3;0f`>h6;;0=76g=e483>!74;38nh6`>3384?>o5m=0;6)?<3;0f`>h6;;0376g=e283>!74;38nh6`>338:?>o5jm0;66g=6383>>o4:>0;66a<1583>>i4900;66a<1683>>o49:0;66a=9e83>!74;38ji6`>3383?>i51j0;6)?<3;0ba>h6;;0:76a=9c83>!74;38ji6`>3381?>i51h0;6)?<3;0ba>h6;;0876a=9883>!74;38ji6`>3387?>i5110;6)?<3;0ba>h6;;0>76a=9683>!74;38ji6`>3385?>i51?0;6)?<3;0ba>h6;;0<76a=a483>!74;38ji6`>338;?>i5i=0;6)?<3;0ba>h6;;0276a=a283>!74;38ji6`>338b?>i5i;0;6)?<3;0ba>h6;;0i76a=a083>!74;38ji6`>338`?>i5i90;6)?<3;0ba>h6;;0o76a=9g83>!74;38ji6`>338f?>i51l0;6)?<3;0ba>h6;;0m76a=9483>!74;38ji6`>33824>=h:0>1<7*>3281e`=i9:81=<54i35;>5<#9:91>574n011>5=<a;=<6=4+12196=?<f8996<54i355>5<#9:91>574n011>7=<a;=>6=4+12196=?<f8996>54i357>5<#9:91>574n011>1=<a;=86=4+12196=?<f8996854i351>5<#9:91>574n011>3=<a;=:6=4+12196=?<f8996:54i3:3>5<#9:91>574n011>==<a;=m6=4+12196=?<f8996454i35f>5<#9:91>574n011>d=<a;=o6=4+12196=?<f8996o54i35`>5<#9:91>574n011>f=<a;=i6=4+12196=?<f8996i54i35b>5<#9:91>574n011>`=<a;=26=4+12196=?<f8996k54i353>5<#9:91>574n011>46<3`8=j7>5$010>7>>3g;8>7?>;:m11c<722c9:=4?::k047<72-;8?7=?3:l277<732c8<<4?:%307?57;2d:??4>;:k045<72-;8?7=?3:l277<532c9jk4?:%307?57;2d:??4<;:k1b`<72-;8?7=?3:l277<332c9ji4?:%307?57;2d:??4:;:k1bf<72-;8?7=?3:l277<132c9jo4?:%307?57;2d:??48;:k1bd<72-;8?7=?3:l277<?32c9j44?:%307?57;2d:??46;:m12f<72-;8?7<9d:l277<732e9:o4?:%307?41l2d:??4>;:m12d<72-;8?7<9d:l277<532e9:44?:%307?41l2d:??4<;:m12=<72-;8?7<9d:l277<332e9::4?:%307?41l2d:??4:;:m123<72-;8?7<9d:l277<132e9:84?:%307?41l2d:??48;:m121<72-;8?7<9d:l277<?32e9:>4?:%307?41l2d:??46;:m12`<722c9i=4?:%307?4b92d:??4?;:k1`c<72-;8?7<j1:l277<632c9hh4?:%307?4b92d:??4=;:k1`a<72-;8?7<j1:l277<432c9hn4?:%307?4b92d:??4;;:k1`g<72-;8?7<j1:l277<232c9hl4?:%307?4b92d:??49;:k1`<<72-;8?7<j1:l277<032c9h54?:%307?4b92d:??47;:k1`2<72-;8?7<j1:l277<>32c8<94?::`113<7280;6=u+12g9e4=O:<?0D?:m;nc3>5<<uk8>;7>51;294~"6;l098n5G2478L72e3f;8<7>5;|`17f<72:0;6=u+12g9=g=O:<?0D?:m;I1:?!gb281b?i4?::k71?6=3f;897>5;|`100<72:0;6=u+12g9=g=O:<?0D?:m;I1:?!gb281b?i4?::k71?6=3f;897>5;|`17g<72:0;6=u+12g9=g=O:<?0D?:m;I1:?!gb281b?i4?::k71?6=3f;897>5;|`106<72<0;6=u+12g9=`=O:<?0D?:m;I1:?!gb281b?i4?::k0a?6=3`>>6=44i017>5<<g89>6=44}c070?6=;3:1<v*>3d8:f>N5=<1C>9l4H2;8 dc=92c8h7>5;h66>5<<g89>6=44}c00e?6==3:1<v*>3d8:a>N5=<1C>9l4H2;8 dc=92c8h7>5;h1f>5<<a=?1<75f12694?=h9:?1<75rb361>5<3290;w)?<e;;g?M42=2B98o5+ad82?l5c2900e9;50;9j562=831d=>;50;9~f726290?6=4?{%30a??c3A8>96F=4c9'e`<63`9o6=44i5794?=n9:>1<75`12794?=zj;>26=4;:183\7f!74m33o7E<:5:J10g=#il0:7d=k:188m13=831b=>:50;9l563=831vn<88:187>5<7s-;8i77k;I061>N5<k1/mh4;;h1g>5<<a=?1<75f12694?=h9:?1<75rb04a>5<3290;w)?<e;;g?M42=2B98o5+ad87?l5c2900e9;50;9j562=831d=>;50;9~f4c4290?6=4?{%30a??c3A8>96F=4c9'e`<63`9o6=44i5794?=n9:>1<75`12794?=zj8o?6=4;:183\7f!74m33o7E<:5:J10g=#il0?7d=k:188m13=831b=>:50;9l563=831vn<k=:187>5<7s-;8i77k;I061>N5<k1/mh4>;h1g>5<<a=?1<75f12694?=h9:?1<75rb064>5<3290;w)?<e;d`?M42=2B98o5f3g83>>o3?3:17d?<7;29?j75m3:17pl>4783>1<729q/=>k5fb9K603<@;>i7d=i:188m11=831b=>950;9l57c=831vn<:::187>5<7s-;8i7hl;I061>N5<k1b?k4?::k73?6=3`;8;7>5;n31a?6=3th:894?:583>5}#9:o1jn5G2478L72e3`9m6=44i5594?=n9:=1<75`13g94?=zj8>86=4;:183\7f!74m3lh7E<:5:J10g=n;o0;66g;7;29?l74?3:17b?=e;29?xd6=h0;694?:1y'56c=nj1C>8;4H36a?l5a2900e9950;9j561=831d=?k50;9~f43>290?6=4?{%30a?`d3A8>96F=4c9j7c<722c?;7>5;h303?6=3f;9i7>5;|`21=<72=0;6=u+12g9bf=O:<?0D?:m;h1e>5<<a==1<75f12594?=h9;o1<75rb074>5<3290;w)?<e;d`?M42=2B98o5f3g83>>o3?3:17d?<7;29?j75m3:17pl>5783>1<729q/=>k5fb9K603<@;>i7d=i:188m11=831b=>950;9l57c=831vn<m;:187>5<7s-;8i7hl;I061>N5<k1b?k4?::k73?6=3`;8;7>5;n31a?6=3th:o>4?:583>5}#9:o1jn5G2478L72e3`9m6=44i5594?=n9:=1<75`13g94?=zj8i96=4;:183\7f!74m3lh7E<:5:J10g=n;o0;66g;7;29?l74?3:17b?=e;29?xd6k80;694?:1y'56c=nj1C>8;4H36a?l5a2900e9950;9j561=831d=?k50;9~f4e7290?6=4?{%30a?`d3A8>96F=4c9j7c<722c?;7>5;h303?6=3f;9i7>5;|`2ga<72=0;6=u+12g9bf=O:<?0D?:m;h1e>5<<a==1<75f12594?=h9;o1<75rb0a`>5<3290;w)?<e;d`?M42=2B98o5f3g83>>o3?3:17d?<7;29?j75m3:17pl>cc83>1<729q/=>k5fb9K603<@;>i7d=i:188m11=831b=>950;9l57c=831vn<mn:187>5<7s-;8i7hl;I061>N5<k1b?k4?::k73?6=3`;8;7>5;n31a?6=3th:o44?:583>5}#9:o1jn5G2478L72e3`9m6=44i5594?=n9:=1<75`13g94?=zj8k<6=4::183\7f!74m33h7E<:5:J10g=#il0:7d=k:188m6c=831b8?4?::k71?6=3f;897>5;|`2e3<72<0;6=u+12g9=f=O:<?0D?:m;%cf>4=n;m0;66g<e;29?l252900e9;50;9l563=831vn<o;:186>5<7s-;8i77l;I061>N5<k1/mh4>;h1g>5<<a:o1<75f4383>>o3=3:17b?<5;29?xd6i:0;684?:1y'56c=1j1C>8;4H36a?!gb281b?i4?::k0a?6=3`>96=44i5794?=h9:?1<75rb0c6>5<2290;w)?<e;;`?M42=2B98o5+ad82?l5c2900e>k50;9j07<722c?97>5;n301?6=3th:m?4?:483>5}#9:o15n5G2478L72e3-kn6<5f3e83>>o4m3:17d:=:188m13=831d=>;50;9~f4g6290>6=4?{%30a??d3A8>96F=4c9'e`<63`9o6=44i2g94?=n<;0;66g;5;29?j74=3:17pl>9g83>0<729q/=>k59b9K603<@;>i7)oj:09j7a<722c8i7>5;h61>5<<a=?1<75`12794?=zj83n6=4::183\7f!74m33n7E<:5:J10g=#il0?7d=k:188m6c=831b884?::k271<722e:?84?::\7fa5d6=83?1<7>t$01f><e<@;?>7E<;b:&ba?7<a:n1<75f3d83>>o3:3:17d:::188k4522900qo?62;297?6=8r.:?h4=559K603<@;>i7d=i:188mc4=831d=?k50;9~f4?629086=4?{%30a?42<2B9985G25`8m6`=831bj?4?::m26`<722wi=5950;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk;2<7>53;294~"6;l09995G2478L72e3`9m6=44ig094?=h9;o1<75rb0:6>5<3290;w)?<e;d`?M42=2B98o5f3g83>>o3?3:17d?<7;29?j75m3:17pl>8g83>6<729q/=>k52468L7323A8?n6g<f;29?l`52900c<<j:188yg7?;3:187>50z&27`<ak2B9985G25`8m6`=831b8:4?::k272<722e:>h4?::\7fa5=c=8391<7>t$01f>7333A8>96F=4c9j7c<722cm>7>5;n31a?6=3th:4<4?:583>5}#9:o1jn5G2478L72e3`9m6=44i5594?=n9:=1<75`13g94?=zj82o6=4<:183\7f!74m38>86F=549K61d<a:l1<75ff383>>i6:l0;66sm16d94?2=83:p(<=j:ga8L7323A8?n6g<f;29?l202900e<=8:188k44b2900qo?7c;297?6=8r.:?h4=559K603<@;>i7d=i:188mc4=831d=?k50;9~f41c290?6=4?{%30a?`d3A8>96F=4c9j7c<722c?;7>5;h303?6=3f;9i7>5;|`2<g<72:0;6=u+12g9602<@;?>7E<;b:k0b?6=3`l96=44o00f>5<<uk;<n7>54;294~"6;l0mo6F=549K61d<a:l1<75f4683>>o6;>0;66a>2d83>>{e91k1<7=50;2x 45b2;??7E<:5:J10g=n;o0;66gi2;29?j75m3:17pl>7883>1<729q/=>k5fb9K603<@;>i7d=i:188m11=831b=>950;9l57c=831vn<66:180>5<7s-;8i7<:4:J110=O:=h0e>h50;9jb7<722e:>h4?::\7fa521=83>1<7>t$01f>ce<@;?>7E<;b:k0b?6=3`><6=44i014>5<<g88n6=44}c3af?6==3:1<v*>3d8:g>N5=<1C>9l4$`g95>o4l3:17d=j:188m14=831b884?::m270<722wi=oo50;794?6|,89n64m4H376?M43j2.ji7?4i2f94?=n;l0;66g;2;29?l222900c<=::188yg7e13:197>50z&27`<>k2B9985G25`8 dc=92c8h7>5;h1f>5<<a=81<75f4483>>i6;<0;66sm1c:94?3=83:p(<=j:8a8L7323A8?n6*ne;38m6b=831b?h4?::k76?6=3`>>6=44o016>5<<uk;i;7>55;294~"6;l02o6F=549K61d<,ho1=6g<d;29?l5b2900e9<50;9j00<722e:?84?::\7fa5g0=83?1<7>t$01f><e<@;?>7E<;b:&ba?7<a:n1<75f3d83>>o3:3:17d:::188k4522900qo?m5;291?6=8r.:?h46c:J110=O:=h0(lk51:k0`?6=3`9n6=44i5094?=n<<0;66a>3483>>{e9k>1<7;50;2x 45b20i0D?;:;I07f>"fm3;0e>j50;9j7`<722c?>7>5;h66>5<<g89>6=44}c3a7?6==3:1<v*>3d8:g>N5=<1C>9l4$`g95>o4l3:17d=j:188m14=831b884?::m270<722wi=o<50;794?6|,89n64m4H376?M43j2.ji7?4i2f94?=n;l0;66g;2;29?l222900c<=::188yg4583:197>50z&27`<>k2B9985G25`8 dc=92c8h7>5;h1f>5<<a=81<75f4483>>i6;<0;66sm20d94?3=83:p(<=j:8a8L7323A8?n6*ne;38m6b=831b?h4?::k76?6=3`>>6=44o016>5<<uk8:h7>55;294~"6;l02o6F=549K61d<,ho1=6g<d;29?l5b2900e9<50;9j00<722e:?84?::\7fa64e=83?1<7>t$01f><e<@;?>7E<;b:&ba?7<a:n1<75f3d83>>o3:3:17d:::188k4522900qo<>e;291?6=8r.:?h46c:J110=O:=h0(lk51:k0`?6=3`9n6=44i5094?=n<<0;66a>3483>>{e:8h1<7;50;2x 45b20i0D?;:;I07f>"fm3;0e>j50;9j7`<722c?>7>5;h66>5<<g89>6=44}c02e?6==3:1<v*>3d8:g>N5=<1C>9l4$`g95>o4l3:17d=j:188m14=831b884?::m270<722wi><650;794?6|,89n64m4H376?M43j2.ji7?4i2f94?=n;l0;66g;2;29?l222900c<=::188yg46?3:197>50z&27`<>m2B9985G25`8 dc=<2c8h7>5;h1f>5<<a=?1<75f12694?=h9:?1<75rb33:>5<2290;w)?<e;;`?M42=2B98o5+ad82?l5c2900e>k50;9j07<722c?97>5;n301?6=3th9<o4?:283>5}#9:o1>8:4H376?M43j2c8j7>5;hd1>5<<g88n6=44}c03e?6=;3:1<v*>3d8111=O:<?0D?:m;h1e>5<<ao81<75`13g94?=zj;:;6=4;:183\7f!74m3lh7E<:5:J10g=n;o0;66g;7;29?l74?3:17b?=e;29?xd5800;6>4?:1y'56c=:<>0D?;:;I07f>o4n3:17dh=:188k44b2900qo?ie;290?6=8r.:?h4ic:J110=O:=h0e>h50;9j02<722c:?:4?::m26`<722wi>=650;194?6|,89n6?;;;I061>N5<k1b?k4?::ke6?6=3f;9i7>5;|`2bf<72=0;6=u+12g9bf=O:<?0D?:m;h1e>5<<a==1<75f12594?=h9;o1<75rb324>5<4290;w)?<e;060>N5=<1C>9l4i2d94?=nn;0;66a>2d83>>{e9ok1<7:50;2x 45b2oi0D?;:;I07f>o4n3:17d:8:188m4502900c<<j:188yg47>3:1?7>50z&27`<5==1C>8;4H36a?l5a2900ek<50;9l57c=831vn<h7:187>5<7s-;8i7hl;I061>N5<k1b?k4?::k73?6=3`;8;7>5;n31a?6=3th9<84?:283>5}#9:o1>8:4H376?M43j2c8j7>5;hd1>5<<g88n6=44}c3e2?6=<3:1<v*>3d8eg>N5=<1C>9l4i2d94?=n<>0;66g>3683>>i6:l0;66sm21694?5=83:p(<=j:377?M42=2B98o5f3g83>>oa:3:17b?=e;29?xd6n=0;694?:1y'56c=nj1C>8;4H36a?l5a2900e9950;9j561=831d=?k50;9~f76429086=4?{%30a?42<2B9985G25`8m6`=831bj?4?::m26`<722wi=k<50;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk8;>7>53;294~"6;l09995G2478L72e3`9m6=44ig094?=h9;o1<75rb0d3>5<3290;w)?<e;d`?M42=2B98o5f3g83>>o3?3:17d?<7;29?j75m3:17pl=3583>0<729q/=>k59b9K603<@;>i7)oj:09j7a<722c8i7>5;h61>5<<a=?1<75`12794?=zj;986=4::183\7f!74m33h7E<:5:J10g=#il0:7d=k:188m6c=831b8?4?::k71?6=3f;897>5;|`177<72<0;6=u+12g9=f=O:<?0D?:m;%cf>4=n;m0;66g<e;29?l252900e9;50;9l563=831vn?=>:186>5<7s-;8i77l;I061>N5<k1/mh4>;h1g>5<<a:o1<75f4383>>o3=3:17b?<5;29?xd5;90;684?:1y'56c=1j1C>8;4H36a?!gb281b?i4?::k0a?6=3`>96=44i5794?=h9:?1<75rb30e>5<2290;w)?<e;;`?M42=2B98o5+ad82?l5c2900e>k50;9j07<722c?97>5;n301?6=3th9>h4?:483>5}#9:o15n5G2478L72e3-kn6<5f3e83>>o4m3:17d:=:188m13=831d=>;50;9~f74c290>6=4?{%30a??d3A8>96F=4c9'e`<63`9o6=44i2g94?=n<;0;66g;5;29?j74=3:17pl=2b83>0<729q/=>k59b9K603<@;>i7)oj:09j7a<722c8i7>5;h61>5<<a=?1<75`12794?=zj;8i6=4::183\7f!74m33h7E<:5:J10g=#il0:7d=k:188m6c=831b8?4?::k71?6=3f;897>5;|`102<72:0;6=u+12g9b2=O:<?0D?:m;%cf>4c<ah81<75fa283>>i6:l0;66sm22g94?5=83:p(<=j:g58L7323A8?n6*ne;3f?lg52900el=50;9l57c=831vn<j8:186>5<7s-;8i7hm;I061>N5<k1/mh4=1:kb6?6=3`k86=44i`694?=ni<0;66a>2d83>>{e9?>1<7;50;2x 45b2oh0D?;:;I07f>"fm38:7do=:188md5=831bm94?::kb1?6=3f;9i7>5;|`2`3<72<0;6=u+12g9bg=O:<?0D?:m;%cf>77<ah81<75fa283>>of<3:17do::188k44b2900qo?93;291?6=8r.:?h4ib:J110=O:=h0(lk5209je7<722cj?7>5;hc7>5<<ah?1<75`13g94?=zj8o>6=4<:183\7f!74m3l<7E<:5:J10g=#il0:;6gn2;29?lg42900c<<j:188yg71k3:1?7>50z&27`<a?2B9985G25`8 dc=9l1bm?4?::kb7?6=3f;9i7>5;|`2`0<72<0;6=u+12g9bg=O:<?0D?:m;%cf>77<ah81<75fa283>>of<3:17do::188k44b2900qo?92;291?6=8r.:?h4ib:J110=O:=h0(lk5209je7<722cj?7>5;hc7>5<<ah?1<75`13g94?=zj8n?6=4::183\7f!74m3li7E<:5:J10g=#il09=6gn2;29?lg42900el:50;9je0<722e:>h4?::\7fa537=83?1<7>t$01f>cd<@;?>7E<;b:&ba?463`k96=44i`194?=ni=0;66gn5;29?j75m3:17pl>d283>0<729q/=>k5fc9K603<@;>i7)oj:338md4=831bm>4?::kb0?6=3`k>6=44o00f>5<<uk;=<7>55;294~"6;l0mn6F=549K61d<,ho1><5fa383>>of;3:17do;:188md3=831d=?k50;9~f436290>6=4?{%30a?`e3A8>96F=4c9'e`<592cj>7>5;hc0>5<<ah>1<75fa483>>i6:l0;66sm15d94?3=83:p(<=j:g`8L7323A8?n6*ne;02?lg52900el=50;9je1<722cj97>5;n31a?6=3th:8i4?:483>5}#9:o1jo5G2478L72e3-kn6??4i`094?=ni:0;66gn4;29?lg22900c<<j:188yg73j3:197>50z&27`<aj2B9985G25`8 dc=:81bm?4?::kb7?6=3`k?6=44i`794?=h9;o1<75rb06:>5<2290;w)?<e;da?M42=2B98o5+ad815>of:3:17do<:188md2=831bm84?::m26`<722wi=;750;794?6|,89n6kl4H376?M43j2.ji7?i;hc1>5<<ah91<75fa583>>of=3:17b?=e;29?xd6lk0;694?:1y'56c=n01C>8;4H36a?!gb2;80el<50;9je6<722cj87>5;n31a?6=3th:hi4?:483>5}#9:o1jo5G2478L72e3-kn64o4i`094?=ni:0;66gn4;29?lg22900c<<j:188yg7?03:1>7>50z&27`<a>2B9985G25`8 dc=9>1bm?4?::m26`<722wi=5850;094?6|,89n6k84H376?M43j2.ji7?8;hc1>5<<g88n6=44}c3;0?6=:3:1<v*>3d8e2>N5=<1C>9l4$`g952=ni;0;66a>2d83>>{e9181<7<50;2x 45b2o<0D?;:;I07f>"fm3;<7do=:188k44b2900qo?70;296?6=8r.:?h4i6:J110=O:=h0(lk5169je7<722e:>h4?::\7fa52c=8381<7>t$01f>c0<@;?>7E<;b:&ba?703`k96=44o00f>5<<uk;<o7>52;294~"6;l0m:6F=549K61d<,ho1=:5fa383>>i6:l0;66sm16c94?4=83:p(<=j:g48L7323A8?n6*ne;34?lg52900c<<j:188yg7003:1>7>50z&27`<a>2B9985G25`8 dc=9>1bm?4?::m26`<722wi>=?50;094?6|,89n6k84H376?M43j2.ji7?8;hc1>5<<g88n6=44}c3eb?6=:3:1<v*>3d8e2>N5=<1C>9l4$`g952=ni;0;66a>2d83>>{e9on1<7<50;2x 45b2o<0D?;:;I07f>"fm3;<7do=:188k44b2900qo?ib;296?6=8r.:?h4i6:J110=O:=h0(lk5169je7<722e:>h4?::\7fa5c?=8381<7>t$01f>c0<@;?>7E<;b:&ba?703`k96=44o00f>5<<uk;m;7>52;294~"6;l0m:6F=549K61d<,ho1=:5fa383>>i6:l0;66sm1g794?4=83:p(<=j:g48L7323A8?n6*ne;34?lg52900c<<j:188yg7a;3:1>7>50z&27`<a>2B9985G25`8 dc=9>1bm?4?::m26`<722wi=k?50;094?6|,89n6k84H376?M43j2.ji7?8;hc1>5<<g88n6=44}c3:7?6=:3:1<v*>3d8e2>N5=<1C>9l4$`g952=ni;0;66a>2d83>>{e:9i1<7<50;2x 45b2o<0D?;:;I07f>"fm3;<7do=:188k44b2900qo?kc;291?6=8r.:?h4ib:J110=O:=h0(lk5199je7<722cj?7>5;hc7>5<<ah?1<75`13g94?=zj;9<6=4;:183\7f!74m3l27E<:5:J10g=#il08m6gn2;29?lg42900el:50;9l57c=831vn?=::1850?6=8r.:?h4>379K603<@;>i7W=l:`y5>2<693;96<751`827?7e28>1=n4r$da97>"e9390(lm53:&f`?5<,lo1?6*n6;18 7352;?;7)k<:29'a1<43-o>6>5+d580?!b22:1/ik4=;%d3>7=#:<;1>8>4$`c97>"fj390(<=7:00g?!c72:1/i<4<;%g1>6=#lj087)jk:29'``<43-nm6>5+cb80?!ec2:1/h54<;%f:>6=#lh087)jm:29'fg<43-hh6>5+be80?!b52:1/h>4<;%f3>6=#l8087)l=:29'f6<43-h?6>5+c780?!e02:1/o94<;%a6>6=#m?087)k8:29'a=<43-h>6>5+b780?!d02:1/ol4<;%aa>6=#k8087)m=:29'g6<43-i36>5+c880?!g02:1/m54<;%c:>6=#jl087)li:29'g5<43-n=6>5+d680?!eb2:1/ok4<;%g:>6=#mh087)km:29'56g=:2.:?o4=;%`;>6=#j0087)ln:29'ec<43-h;6>5+ae80?l272900e9?50;9j==<722c257>5;h30g?6=3`;8h7>5;h07a?6=3`8?j7>5;h0g>5<#9:91>n5a12094>=n:k0;6)?<3;0`?k74:3;07d<n:18'565=:j1e=><52:9j6<<72-;8?7<l;o306?5<3`836=4+12196f=i9:81865f2683>!74;38h7c?<2;78?l41290/=>=52b9m564=>21b>84?:%307?4d3g;8>794;h07>5<#9:91>n5a1209<>=n::0;6)?<3;0`?k74:3307d=7:18'565=;>1e=><50:9j73<72-;8?7=8;o306?7<3`9>6=4+121972=i9:81>65f3583>!74;39<7c?<2;18?l54290/=>=5369m564=<21b??4?:%307?503g;8>7;4;h12>5<#9:91?:5a12092>=n;90;6)?<3;14?k74:3=07d<i:18'565=;>1e=><58:9j6`<72-;8?7=8;o306??<3`>m6=4+12190`=i9:81<65f4e83>!74;3>n7c?<2;38?l2d290/=>=54d9m564=:21b8o4?:%307?2b3g;8>7=4;h6b>5<#9:918h5a12090>=n<00;6)?<3;6f?k74:3?07d;7:18'565=<l1e=><56:9j12<72-;8?7:j;o306?1<3`?=6=4+12190`=i9:81465f5483>!74;3>n7c?<2;;8?l33290/=>=54d9m564=i21b9>4?:%307?2b3g;8>7l4;h71>5<#9:918h5a1209g>=n=80;6)?<3;6f?k74:3n07d;?:18'565=<l1e=><5e:9j0=<72-;8?7:j;o306?`<3`<;6=4+12191c=i9:81<65f5d83>!74;3?m7c?<2;38?l3c290/=>=55g9m564=:21b9n4?:%307?3a3g;8>7=4;h7a>5<#9:919k5a12090>=n=h0;6)?<3;7e?k74:3?07d86:18'565==o1e=><56:9j2=<72-;8?7;i;o306?1<3`<<6=4+12191c=i9:81465f6783>!74;3?m7c?<2;;8?l02290/=>=55g9m564=i21b:94?:%307?3a3g;8>7l4;h40>5<#9:919k5a1209g>=n>;0;6)?<3;7e?k74:3n07d8>:18'565==o1e=><5e:9j1<<72-;8?7;i;o306?`<3`<h6=4+12192g=i9:81<65f6`83>!74;3<i7c?<2;38?l0a290/=>=56d9m564=821b:i4?:%307?0b3g;8>7?4;n54>5<#9:91;;5a12094>=h?<0;6)?<3;55?k74:3;07b9;:18'565=??1e=><52:9l36<72-;8?799;o306?5<3f=96=4+121933=i9:81865`7083>!74;3==7c?<2;78?j>7290/=>=5779m564=>21d;k4?:%307?113g;8>794;n5f>5<#9:91;;5a1209<>=h?m0;6)?<3;55?k74:3307b9l:18'565=??1e=><5a:9l3g<72-;8?799;o306?d<3f=j6=4+121933=i9:81o65`7883>!74;3==7c?<2;f8?j1?290/=>=5779m564=m21d;=4?:%307?113g;8>7h4;n;7>5<#9:915>5a12094>=h1;0;6)?<3;;0?k74:3;07b67:18'565=0>1e=><50:9l<3<72-;8?768;o306?7<3f2>6=4+1219<2=i9:81>65`8583>!74;32<7c?<2;18?j>4290/=>=5869m564=<21d4?4?:%307?>03g;8>7;4;n;2>5<#9:914:5a12092>=h190;6)?<3;:4?k74:3=07b6i:18'565=0>1e=><58:9l<`<72-;8?768;o306??<3f2o6=4+1219<2=i9:81m65`8b83>!74;32<7c?<2;`8?j>e290/=>=5869m564=k21d4l4?:%307?>03g;8>7j4;n::>5<#9:914:5a1209a>=h080;6)?<3;:4?k74:3l07b78:18'565=1?1e=><50:9l=0<72-;8?779;o306?7<3th::l4?:283>5}#9:o1j55G2478L72e3-kn6?>4i`094?=ni:0;66ai3;29?xd6ll0;694?:1y'56c=nh1C>8;4H36a?!gb2=<0el<50;9je6<722cj87>5;nd0>5<<uz8=>7>538y]634<5;9h6>j4=366>6b<5;9i6>j4=360>6b<5;>?6>j4=31b>6b<5;>96>j4=362>6b<5;>26>j4=044>6b<58<i6>j4=0g0>6b<58o?6>j4=0g1>6b<58k<6>j4=0c5>6b<58k?6>j4=0c0>6b<58k>6>j4=0c1>6b<58k:6>j4=0;e>6b<583n6>j4=0c3>6b<58hi6>j4=0`b>6b<58h26>j4=0`;>6b<58h<6>j4=0`5>6b<58h>6>j4=0`7>6b<58h86>j4=0`1>6b<5;8;6>j4=33e>6b<5;;o6>j4=33`>6b<5;;n6>j4=33a>6b<5;;j6>j4=33;>6b<5;;<6>j4=33:>6b<5;9?6>j4=310>6b<5;996>j4=312>6b<5;9;6>j4=30e>6b<5;8n6>j4=30g>6b<5;8h6>j4=30a>6b<5;9>69>4=316>17<uz9:=7>56z\054=:9?i1m>521ea9e0=:::=1m95217c9e7=:9mo1m?5rs22e>5<11rT9:h5Q24d8Z67>3W9:;6P=5d9]742<V;hm7S=>8:\1g5=Y:l80R?8l;_05f>X5>h1U>;74^34;?[41?2T9:;5Q2778Z7033W8=?6P<259]775<V:897S==1:\065=Y;8l0R>?j;_12`>X49j1U?<l4^22f?[57l2T8<n5Q31`8Z66f3W9;56P<099]751<V::=7S=?5:?113<f82798>4;5:?17d<3=2798?4;5:?104<3=279844;5:?2a1<3=27:8:4;7:?203<3?27:884;7:?201<3?27:8>4;7:?21d<3?27:944;7:?21=<3?27:9:4;7:?213<3?27:o94;7:?2g6<3?27:o?4;7:?2g4<3?27:o=4;7:?2ga<3?27:on4;7:?2gg<3?27:ol4;7:?2g<<3?27:4:4;7:?2<0<3?27:4>4;7:?2<4<3?27:;k4;7:?23a<3?27:;o4;7:?23<<3?27:;:4;7:?145<3?27:jh4;7:?2bf<3?27:jl4;7:?2b=<3?27:j;4;7:?2b1<3?27:j?4;7:?2b5<3?279?84>3b9>663=:=l01?=::72897522<o01?=::4f897522<i01?=::4`897522<k01?=::7;897522?201?=::75897522?<01?=::77897522?>01?=::71897522?801?=::73897522<301?=::7d897522?n0q~=>3;297~X49:16>9=51268975f289?7p}=b`83>7}Y:kk01<8m:016?xu4:?0;6>uQ334894c22h801<jl:`68yv4ek3:1>vP=bb9>5`5=9:?0q~<nf;296~X51m16>>;5969~w7gc2909wS<6c:?170<?02wx>lm50;0xZ7?e34889769;|q1eg<72;qU>4o4=316>=3<uz8jm7>52z\1=<=:::?1495rs3c:>5<5sW82463=348;7>{t:h21<7<t^3;4?844=3297p}=a683>7}Y:0<01?=::838yv4e03:1>vP=a49>663=191v\7f?l8:181\7f[4f<279?8465:\7fp6g0=838pR?o<;<001?>a3ty9n84?:3y]6d4<5;9>65k4}r0a0?6=:rT9m<522279<a=z{;h86=4={_0b4>;5;<03o6s|2c094?4|V;3m70<<5;:a?xu5j80;6?uQ28g8975221k0q~<m0;296~X51<16>>;5889~w7g12909wS<64:?170<?92wx>5o50;0xZ71?3488978l;|q1<=<72;qU>:94=316>1`<uz83;7>52z\133=:::?18i5rs3:5>5<5sW8<963=3487g>{t:1?1<7<t^357?844=3>i7p}=8583>7}Y:>901?=::5c8yv4?;3:1>vP=739>663=<01v\7f?6=:181\7f[409279?84:8:\7fp6<5=838pR?6?;<001?303ty95?4?:3y]62`<5;9>6;o4}r0:5?6=:rT9;h52227913=z{;3;6=4={_04`>;5;<0>96s|29d94?4|V;=h70<<5;77?xu50l0;6?uQ26`897522<90q~<7d;296~X5?h16>>;5539~w7>d2909wS<89:?170<292wx>5l50;0xZ717348897;?;|q1<4<72;qU>;h4=316>1><uzlm6=4n{<063?74827:8>4<f:?213<4n27:o=4<f:?2g<<4n27:444<f:?232<4n279<?4<f:?2b5<4n279?8468:\7fp66d=839p1?=l:578975e289>70<<a;1f?xu5;j0;6?u222a9563<5;9n6l<4}r070?6=;r79884;5:?106<4m279894>349~w7222909w0<;5;301>;5<>0j>6s|22c94?5|5;9i69;4=31b>4523488i7o<;|q106<72:q6>9=5127897232=?01?:8:`18yv44l3:1?v3=438271=::=;1=>:4=31f>44b3ty98=4?:05x9725289>70?97;300>;6>k0:?9521`5907=:9h<18?521`6907=:9h918?521`7907=:9h818?521`3907=:90l18?5218g9562<58k;69<4=0`a>14<58hj69<4=0`:>14<58h369<4=0`4>14<58h=69<4=0`6>14<58h?69<4=0`0>14<58h969<4}r00b?6=;r798<4>349>661=i;16>>;512f8yv43>3:1>v3=488271=::==1=?k4}r07<?6=90q6>975127894c4289?70?j4;300>;6m;0:?952232907=::8l18?5220f907=::8i18?5220g907=::8h18?5220c907=::8218?522059562<5;;269<4=317>14<5;9869<4=311>14<5;9:69<4=313>14<5;8m69<4=30f>14<5;8o69<4=30`>14<5;8i69<4=0fa>d2<uz;=47>53z?222<3=27::o4;5:?22<<6:l1v\7f<88:185\7f871?3;8963>6b8b6>;6>00j?63>db8b6>;5;>0j?63>dd8b7>{t9mk1<7=t=0g0>13<58o969;4=0fg>44b3ty:i94?:3y>5`2=9:?01<jm:`18yv7b:3:18v3>e38270=:9l?1m>521e`9e7=:9mi1m>5rs061>5<5s4;?;7=i;<372?75m2wx=8>50;1x9420289<70?l4;303>;6=80:>h5rs046>5<5s4;?;7?=e:?22<<f=2wx=9?50;0x94212:l01<:::00f?xu6<l0;6>u21549561<58i86<=8;<37b?75m2wx=9>50;0x94222:l01<:;:00f?xu6<j0;6>u21579561<58i96<=8;<37`?75m2wx=>h50;0x94232:l01<:<:00f?xu6<h0;6>u21569561<58i:6<=8;<37f?75m2wx=9650;1x9424289<70?l0;303>;6<00:>h5rs076>5<5s4;>m7=i;<36=?75m2wx=8h50;0x943f289<70?94;31a>{t9?<1<7<t=07b>44b34;=m7o<;|q211<72;q6=8753g9>50>=9;o0q~?:e;296~;6=00:?:52171957c<uz;>?7>52z?21=<4n27:9:4>2d9~w43c2909w0?:8;303>;6>;0:>h5rs071>5<5s4;>;7=i;<362?75m2wx=8m50;0x9430289<70?91;31a>{t9<h1<7<t=075>45034;=<7?=e:\7fp5g`=838p1<m;:2d894e4288n7p}>d983>7}:9j>1=?k4=0ff>d2<uz;ii7>52z?2g6<4n27:o?4>2d9~w4dc2909w0?l2;1e?87d93;9i6s|1ca94?4|58i:6>h4=0a3>44b3ty:o54?:3y>5fb=;o16=nm513g8yv7c:3:1>v3>ce8272=:9m=1=?k4}r3g=?6=:r7:oi4>2d9>5ab=i;1v\7f<m8:181\7f87dk39m70?lb;31a>{t9m;1<7<t=0a`>45034;o:7?=e:\7fp5f0=838p1<mm:2d894ef288n7p}>d183>7}:9jh1=>94=0f6>44b3ty:o84?:3y>5fg=;o16=n7513g8yv7dn3:1>v3>c`8272=:9m>1=?k4}r3`a?6=:r7:o44>369>5a5=9;o0q~<<8;2950}:9h=1?h521`497`=:9h>1?h521`197`=:9h?1?h521`097`=:9h;1?h5218d97`=:90o1?h521`297`=:9kh1?h521cc97`=:9k31?h521c:97`=:9k=1?h521c497`=:9k?1?h521c697`=:9k91?h521c097`=:9?i1=?k4}r342?6=:r7:m:4;5:?2=7<6:l1v\7f<7k:187\7f87f?3;8963>bc871>;6>=0j>63>928b6>{t9>?1<7<t=0c5>13<583:6<<j;|q2=f<72=q6=l85127894df2=?01<8;:`6894>?2h80q~?83;296~;6i=0?963>8g826`=z{83j6=4;{<3b0?74=27:n54;5:?226<f<27:494n2:\7fp524=838p1<o<:57894>b288n7p}>9883>1}:9h91=>;4=0`4>13<58<96l<4=0:1>d4<uz;<87>52z?2e0<3=27:5=4>2d9~w4?e290?w0?n5;301>;6j00?963>628b6>;60?0j>6s|16394?4|58k969;4=0:g>44b3ty:554?:5y>5d4=9:?01<l9:57894052h>01<6?:`08yv7083:1>v3>a0871>;60j0:>h5rs0;4>5<3s4;j=7?<5:?2f0<3=27::<4n2:?23`<f:2wx=;k50;0x94?a2=?01<6n:00f?xu61<0;69u218d9563<58h869;4=043>d4<58=j6l<4}r35`?6=:r7:5h4;5:?2<<<6:l1v\7f<7;:187\7f87>m3;8963>b3871>;6>90j863>798b6>{t9?l1<7<t=0c3>13<582i6<<j;|q2=3<72=q6=l>5127894d32=?01<8>:`68941d2h80q~?77;296~;61;08j63>86826`=z{8386=4={<3:6?`534;2?7?=e:\7fp5=3=839p1<7>:2d894>02:l01<6::00f?xu6010;6>u21839b7=:91=1=>94=0:;>44b3ty:4>4?:2y>5<6=;o16=5;53g9>5=5=9;o0q~?76;297~;6190m>63>848272=:91<1=?k4}r3;5?6=;r7:4k4<f:?2<6<4n27:4<4>2d9~w4>32908w0?7f;d1?87?;3;8;63>85826`=z{8=m6=4<{<3;a?5a34;3=7=i;<34b?75m2wx=5<50;1x94>b2o801<6>:014?87?:3;9i6s|16f94?5|582o6>h4=05e>6`<58=o6<<j;|q2<5<72:q6=5j5f39>52`=9:=01<6?:00f?xu6?k0;6>u219a97c=:9>n1?k5216`957c<uz;<i7>53z?2<f<a:27:;i4>369>52c=9;o0q~?89;297~;60k08j63>7c80b>;6?00:>h5rs05`>5<4s4;3n7h=;<34f?74?27:;n4>2d9~w4102908w0?7a;1e?870139m70?87;31a>{t9>k1<7=t=0:b>c4<58=26<=8;<34e?75m2wx=:650;1x94>>2o801<98:014?87003;9i6s|1c394?2|58hi6<=:;<3g3?g434;>=7o=;<001?5?3ty:n=4?:5y>5gg=9:?01<j8:`7894362h>01?=::248yv7fn3:18v3>b88270=:9m<1m>5215d9e7=:::?1?85rs0cf>5<3s4;i47?<5:?2`3<f=27:8k4n4:?170<4<2wx=lj50;6x94d0289>70?k5;c0?873l3k970<<5;10?xu6ij0;69u21c49563<58n>6l;4=06g>d2<5;9>6><4}r3bf?6=<r7:n84>349>5a2=i:16=9l5a39>663=;81v\7f<on:187\7f87e<3;8963>d58b1>;6<k0j863=34804>{t9h31<7:t=0`0>45234;o?7o<;<37=?g5348897<i;|q2e=<72=q6=o<5127894b42h?01<:6:`6897522;o0q~<<9;2952}::;:1?h5220d97`=::8n1?h5220a97`=::8o1?h5220`97`=::8k1?h5220:97`=::8=1?h5220;97`=:::>1?h5222197`=:::81?h5222397`=::::1?h5223d97`=::;o1?h5223f97`=::;i1?h5223`97`=:9l?1=?k4=04:>d4<5;9>6?:j;|q2ac<72;q6>?>5449>65d=9;o0q~<>6;290~;5:90:?852226900=:9m=1m?5221a9e7=z{8on6=4={<02b?22348;m7?=e:\7fp643=83>p1??i:016?844;3>>70?k7;c7?84793k97p}>eb83>7}::8n1885221:957c<uz8:?7>54z?15a<6;<16>>?5449>5a0=i=16=kj5a39~w4ce2909w0<>c;66?847?3;9i6s|20094?2|5;;h6<=:;<004?2234;o97o=;<3ef?g53ty:ii4?:3y>64c=<<16>=7513g8yv46<3:18v3=1d8270=:::8188521e49e7=:9ol1m?5rs0gb>5<5s48:n7::;<032?75m2wx><?50;6x977e289>70<=f;66?87c=3k?70?i9;c1?xu6m00;6?u220c900=::9?1=?k4}r024?6=<r79=l4>349>67c=<<16=i:5a39>5c1=i;1v\7f<k8:181\7f84603>>70<?3;31a>{t:9o1<7:t=33;>4523489o7::;<3g7?g534;m?7o=;|q2a3<72;q6><95449>654=9;o0q~<?d;290~;59>0:?85223`900=:9m91m9521g39e7=z{8o36=4={<02=?22348;87?=e:\7fp65`=83>p1??6:016?845l3>>70?k4;c7?87a=3k97p}=0183>7}::9h1?k52212957c<uz8;o7>52z?14g<a:279<n4>2d9~w4`b2908w0<?a;1e?847839m70?ie;31a>{t:9;1<7=t=32b>c4<5;:;6<=8;<035?75m2wx=km50;1x976>2:l01<hj:2d894`d288n7p}>fg83>6}::931j?521gg9561<58lm6<<j;|q2bd<72:q6>=653g9>5ce=;o16=ko513g8yv7al3:1?v3=098e6>;6nj0:?:521gf957c<uz;m47>53z?142<4n27:jl4<f:?2b=<6:l1v\7f<hm:180\7f847?3l970?ia;303>;6nk0:>h5rs0d5>5<4s48;:7=i;<3e<?5a34;m:7?=e:\7fp5c?=839p1?>9:g0894`?289<70?i9;31a>{t9o>1<7=t=326>6`<58l=6>h4=0d7>44b3ty:j:4?:2y>653=n;16=k85125894`0288n7p}>f383>6}::9>1?k521g697c=:9o81=?k4}r3e1?6=;r79<94i2:?2b1<6;>16=k;513g8yv7a83:1?v3=0280b>;6n;08j63>f1826`=z{8l86=4<{<037?`534;m>7?<7:?2b6<6:l1v\7f<h>:180\7f847:3l970?i0;303>;6n80:>h5rs30b>5<3s48887?<5:?221<f;27:9<4n3:?170<5l2wx>?750;6x9754289>70?94;c6?87293k>70<<5;0a?xu5:10;69u22209563<58<86l=4=06e>d5<5;9>6?o4}r013?6=<r79?<4>349>535=i<16=9h5a49>663=:01v\7f?<9:187\7f84483;8963>638b7>;6<m0j?63=3481<>{t:;?1<7:t=30e>45234;=>7o:;<37`?g2348897<8;|q161<72=q6>?k5127894062h901<:m:`1897522;<0q~<=3;290~;5:m0:?8521739e0=:9=h1m852227960=z{;896=4;{<01g?74=27::=4n3:?20<<f;279?84=4:\7fp677=83>p1?<m:016?87183k>70?;9;c6?844=3887p}>2283>7}:9?31m95217c9b6=z{8o:6=4={<3gf?75m27:hi4n5:\7fp5a`=838p1<jk:`1894bd288n7p}>e183>7}:9mn1m9521eg9b6=z{;9=6=4={<003?75m279?8469:\7f~w7052909wS<92:?0f?41:2.98l4>1d9~w7>f2909wS<88:?0f?4002.98l4>259~w7>?2909wS<87:?0f?40?2.98l4>249~w7>02909wS<86:?0f?40>2.98l4>279~w7>12909wS<85:?0f?40=2.98l4>289~w7>22909wS<84:?0f?40<2.98l4>019~w7>32909wS<83:?0f?40;2.98l4>069~w7>42909wS<82:?0f?40:2.98l4>139~w7>52909wS<81:?0f?4092.98l4>169~w7?42909wS<70:?0f?4?82.98l4>199~w7?52909wS<8f:?0f?40n2.98l4>189~w7?62909wS<8e:?0f?40m2.98l4>1`9~w7?72909wS<8d:?0f?40l2.98l4>1c9~w7>a2909wS<8c:?0f?40k2.98l4>1b9~w7>b2909wS<8b:?0f?40j2.98l4>1e9~w7>c2909wS<8a:?0f?40i2.98l4>1g9~w7>d2909wS<89:?0f?4012.98l4>219~w7>e2909wS<80:?0f?4082.98l4>209~w7>62909wS<9f:?0f?41n2.98l4>239~w6762909wS=>1:?0f?5692.98l4>269~w6742909wS=>3:?0f?56;2.98l4>299~w6412909wS==6:?0f?55>2.98l4>2`9~w7ga2909wS<6d:?0f?4>l2.98l4>009~w7gc2909wS<6c:?0f?4>k2.98l4>039~w7gd2909wS<6b:?0f?4>j2.98l4>029~w7ge2909wS<6a:?0f?4>i2.98l4>059~w7gf2909wS<69:?0f?4>12.98l4>049~w7g>2909wS<68:?0f?4>02.98l4>079~w7g?2909wS<67:?0f?4>?2.98l4>099~w7g02909wS<66:?0f?4>>2.98l4>089~w7d?2909wS<n5:?0f?4f=2.98l4>0`9~w7d02909wS<n4:?0f?4f<2.98l4>0c9~w7d12909wS<n3:?0f?4f;2.98l4>0b9~w7d22909wS<n2:?0f?4f:2.98l4>0e9~w7d32909wS<n1:?0f?4f92.98l4>0d9~w7d42909wS<n0:?0f?4f82.98l4>0g9~w7d52909wS<6f:?0f?4>n2.98l4>119~w7d62909wS<6e:?0f?4>m2.98l4>109~w7d72909wS<65:?0f?4>=2.98l4>129~w7g12909wS<64:?0f?4><2.98l4>159~w7df2909wS<ma:?0f?4ei2.98l4>149~w7dd2909wS<mc:?0f?4ek2.98l4>179~yk37m3:1>vF=4c9~j06a2909wE<;b:\7fm146=838pD?:m;|l654<72;qC>9l4}o726?6=:rB98o5rn430>5<5sA8?n6sa50694?4|@;>i7p`:1483>7}O:=h0qc;>6;296~N5<k1vb8?8:181\7fM43j2we9<650;0xL72e3td>=44?:3yK61d<ug?:m7>52zJ10g=zf<;i6=4={I07f>{i=8i1<7<tH36a?xh29m0;6?uG25`8yk36m3:1>vF=4c9~j07a2909wE<;b:\7fm176=838pD?:m;|l664<72;qC>9l4}o716?6=:rB98o5rn400>5<5sA8?n6sa53694?4|@;>i7p`:2483>7}O:=h0qc;=6;296~N5<k1vb8<8:181\7fM43j2we9?650;0xL72e3td>>44?:3yK61d<ug?9m7>52zJ10g=zf<8i6=4={I07f>{i=;i1<7<tH36a?xh2:m0;6?uG25`8yk35m3:1>vF=4c9~j04a2909wE<;b:\7fm166=838pD?:m;|l674<72;qC>9l4}o706?6=:rB98o5rn410>5<5sA8?n6sa52694?4|@;>i7p`:3483>7}O:=h0qc;<6;296~N5<k1vb8=8:181\7fM43j2we89650;3xL72e3td?;<4?:0yK61d<ug><>7>51zJ10g=zf==86=4>{I07f>{i<>>1<7?tH36a?xh3?<0;6<uG25`8yk20>3:1=vF=4c9~j110290:wE<;b:\7fm02>=83;pD?:m;|l73<<728qC>9l4}o64e?6=9rB98o5rn55a>5<6sA8?n6sa46a94?7|@;>i7p`;7e83>4}O:=h0qc:8e;295~N5<k1vb99i:182\7fM43j2we85>50;3xL72e3td?4<4?:0yK61d<ug>3>7>51zJ10g=zf=286=4>{I07f>{i<1>1<7?tH36a?xh30<0;6<uG25`8yk2?>3:1=vF=4c9~j1>0290:wE<;b:\7fm0=>=83;pD?:m;|l7<<<728qC>9l4}o6;e?6=9rB98o5rn5:a>5<6sA8?n6sa49a94?7|@;>i7p`;8e83>4}O:=h0qc:7e;295~N5<k1vb96i:182\7fM43j2we84>50;3xL72e3td?5<4?:0yK61d<ug>2>7>51zJ10g=zf=386=4>{I07f>{i<0>1<7?tH36a?xh31<0;6<uG25`8yk2>>3:1=vF=4c9~j1?0290:wE<;b:\7fm0<>=83;pD?:m;|l7=<<728qC>9l4}o6:e?6=9rB98o5rn5;a>5<6sA8?n6sa48a94?7|@;>i7p`;9e83>4}O:=h0qc:6e;295~N5<k1vb97i:182\7fM43j2we8l>50;3xL72e3td?m<4?:0yK61d<ug>j>7>51zJ10g=zf=k86=4>{I07f>{i<h>1<7?tH36a?xh3i<0;6<uG25`8yk2f>3:1=vF=4c9~j1g0290:wE<;b:\7fm0d>=83;pD?:m;|l7e<<728qC>9l4}o6be?6=9rB98o5rn5ca>5<6sA8?n6sa4`a94?7|@;>i7p`;ae83>4}O:=h0qc:ne;295~N5<k1vb9oi:182\7fM43j2we8o>50;3xL72e3td?n<4?:0yK61d<ug>i>7>51zJ10g=zf=h86=4>{I07f>{i<k>1<7?tH36a?xh3j<0;6<uG25`8yk2e>3:1=vF=4c9~j1d0290:wE<;b:\7fm0g>=83;pD?:m;|l7f<<728qC>9l4}o6ae?6=9rB98o5rn5`a>5<6sA8?n6sa4ca94?7|@;>i7p`;be83>4}O:=h0qc:me;295~N5<k1vb9li:182\7fM43j2we8n>50;3xL72e3td?o<4?:0yK61d<ug>h>7>51zJ10g=zf=i86=4>{I07f>{i<j>1<7?tH36a?xh3k<0;6<uG25`8yk2d>3:1=vF=4c9~j1e0290:wE<;b:\7fm0f>=83;pD?:m;|l7g<<728qC>9l4}o6`e?6=9rB98o5rn5aa>5<6sA8?n6sa4ba94?7|@;>i7p`;ce83>4}O:=h0qc:le;295~N5<k1vb9mi:182\7fM43j2we8i>50;3xL72e3td?h<4?:0yK61d<ug>o>7>51zJ10g=zf=n86=4>{I07f>{i<m>1<7?tH36a?xh3l<0;6<uG25`8yk2c>3:1=vF=4c9~j1b0290:wE<;b:\7fm0a>=83;pD?:m;|l7`<<728qC>9l4}o6ge?6=9rB98o5rn5fa>5<6sA8?n6sa4ea94?7|@;>i7p`;de83>4}O:=h0qc:ke;295~N5<k1vb9ji:182\7fM43j2we8h>50;3xL72e3td?i<4?:0yK61d<ug>n>7>51zJ10g=zf=o86=4>{I07f>{i<l>1<7?tH36a?xh3m<0;6<uG25`8yk2b>3:1=vF=4c9~j1c0290:wE<;b:\7fm0`>=83;pD?:m;|l7a<<728qC>9l4}o6fe?6=9rB98o5rn5ga>5<6sA8?n6sa4da94?7|@;>i7p`;ee83>4}O:=h0qc:je;295~N5<k1vb9ki:182\7fM43j2we8k>50;3xL72e3td?j<4?:0yK61d<ug>m>7>51zJ10g=zf=l86=4>{I07f>{i<o>1<7?tH36a?xh3n<0;6<uG25`8yk2a>3:1=vF=4c9~j1`0290:wE<;b:\7fm0c>=83;pD?:m;|l7b<<728qC>9l4}o6ee?6=9rB98o5rn5da>5<6sA8?n6sa4ga94?7|@;>i7p`;fe83>4}O:=h0qc:ie;295~N5<k1vb9hi:182\7fM43j2we9=>50;3xL72e3td><<4?:0yK61d<ug?;>7>51zJ10g=zf<:86=4>{I07f>{i=9>1<7?tH36a?xh28<0;6<uG25`8yk37>3:1=vF=4c9~j060290:wE<;b:\7fm15>=83;pD?:m;|l64<<728qC>9l4}o73e?6=9rB98o5rn42a>5<6sA8?n6sa51a94?7|@;>i7p`:0e83>4}O:=h0qpsr@AAx256=lmonni8:}ABA\7f5{GHYqvLM
\ No newline at end of file
+++ /dev/null
-XILINX-XDB 0.1 STUB 0.1 ASCII
-XILINX-XDM V1.4e
-$20b\7f41<,[o}e~g`n;"2*447&;:%>-*>;17845678=:0<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;=6?<;0,356=683CE\XZ5AEFQE9?=87;87<>5IORVP?GCL[H757>11g924?OIX\^1|\7fah_dosp|Ys`{oxd1750?05?46=AGZ^X7~}of]fiur~W}byi~fParqfvq:>2949:6??:HLSQQ<wzfmTi`~{y^vkv`uoWgolmyk39;2=5`=683CE\XZ5psmd[cskdV~c~h}g<883:73<990BB][[:qplcZ`rdeU\7fd\7fk|h^cpw`ts400;2?;4118JJUSS2yxdkRhzlm]wlwct`Vdnklzj<883:4?<990DYY^ZT;fbpdYdg|d\7f044?>0a855<H]]Z^X7|k_ecweZeh}g~757>11b924?IR\Y__6z|Pd`vb[firf}626=0>2:35>LHW]]0OEL2>5;2=57=6>3CE\XZ5DH@?50<76890=;4@UURVP?BHI5;>6=0>3:35>JSSX\^1HBL31483:44<910BB][[:ekm841=87;?7<65OTVSQQ<cg|~7=:4?>0910>47;9;:7?4FNQWW>AOWI5;1<3?>;38JJUSS2MC[N1?50?31?7<H]]Z^X7J@P@>2>586:281CXZ_UU8GKUD;93:5h6<y2123bb00>$<:79:455F@5>>330:;5?5N299B@ATF49437LJKR@>2:==FLMXJ0?07;@FGVD:4611JHI\N<5<;?DBCZH6>255NDEPB838?3HNO^L28>99B@ATF414j7LJKR@>:>58?3HNO^L26>99B@ATE49437LJKRC>2:==FLMXI0?07;@FGVG:4611JHI\M<5<;?DBCZK6>255NDEPA838?3HNO^O28>99B@ATE414j7LJKRC>:>58?3HNO^O26>89BW\HDW[OL>6LN3:@V6==E]ZUBBKA>;B08G@4<K@>0OAEN4:AOOG5<KEX27NABMHVWAA1<K[OJXHJ>;E08@C4<L@<0HDO30?58@LG;994<7IGN<03=3>BNI5;92:5KI@>27;1<L@K7=906;EKB843=87=0HDO314<5?AOF484=7IGN<3<5?AOF4:4=7IGN<5<5?AOF4<4=7IGN<7<5?AOF4>4=7IGN<9<5?AOF404=7IGM<1<4?AOE48:5;6JFB=32:2=CAK6:>394DH@?56803MCI0<:19:FJF972294<7IGM<07=2>BNJ5;5:6JFB=0=2>BNJ595:6JFB=6=2>BNJ5?5:6JFB=4=2>BNJ5=5:6JFB=:=2>BNJ535;6JFP@>3:<=CAYK7=7>17:FJTD:66>1OE]L30?;8@LVE480;2:5KIQ@?5;0<LFK7<394DNC?55803MEJ0<?17:FLE9756>1OCL2>3?58@JG;9=427IAN<0794;1<LFK7=809;EMB84813MEJ0?09;EMB86813MEJ0909;EMB80813MEJ0;09;EMB82813MEJ0509;EMB8<813MEI0=08;EMA8469?2NDN1?>>69GKG:6:7=0HBL312<4?AIE48>556J@B=36>5803MEI0<;16:FLF979>2NDN1<16:FLF959>2NDN1:16:FLF939>2NDN1816:FLF919>2NDN1616:FLF9?9?2ND\L2?>89GKUG;93:5;6J@P@>2:2=CGYH7<374DNRA84<76>1OC]L31?18AKG43LDI86H78908BA2<NMIN?6HKP59E@UC23Okg\7fh?4G29DJA4<A980E<<4I308M6><AGC_\R>?8:KMMQVX8820ECG[P^21<>OIA]ZT<>64IOKWTZ6302CEEY^P04:8MKOSXV:=46GAIUR\42?<AGC__YO[E69JJLRX89=0ECG[_134?LHN\V:9;6GAIU]372=NF@^T<994IOKW[5303@DBXR>97:KMMQY7?>1BBDZP0958MKOSW93<7D@FT^2B3>OIA]U;N:5FNHV\4F1<AGC_S=J8;HLJPZ6B?2CEEYQ?F69JJLRX99=0ECG[_034?LHN\V;9;6GAIU]272=NF@^T=994IOKW[4303@DBXR?97:KMMQY6?>1BBDZP1958MKOSW83<7D@FT^3B3>OIA]U:N:5FNHV\5F1<AGC_S<J8;HLJPZ7B?2CEEYQ>F69JJLRX:9=0ECG[_334?LHN\V89;6GAIU]172=NF@^T>994IOKW[7303@DBXR<97:KMMQY5?>1BBDZP2958MKOSW;3<7D@FT^0B3>OIA]U9N:5FNHV\6F1<AGC_S?J8;HLJPZ4B?2CEEYQ=F69JJLRX;9=0ECG[_234?LHN\V99;6GAIU]072=NF@^T?994IOKW[6303@DBXR=97:KMMQY4?>1BBDZP3958MKOSW:3<7D@FT^1B3>OIA]U8N:5FNHV\7F1<AGC_S>J8;HLJPZ5B?2CEEYQ<F79JJLRXI?1BBDZPB29JKG4<D@80@B84LNCGAA1<DFMBOLB;;MWW51=K]]8=7A[[2^N7?ISS;?1GYY=PL59OQQ223D_SOTm4M`hlvScu{`eeo6CfnnpUawungg80B<=4N027?K77<=1E==;;;O3321=I99=?7C??859M55?43G;:86@>1168J476<2D:=?:4N0300>H69=>0B<?:4:L2532<F8;<86@>1968J47>;2D:>95A1327?K759=1E=?<;;O3176=I9:90B<:<;O367>H6>:1E=:=4N0:0?K7>:2D9?6@=029M645<F;887C<<3:L677=I>;1E;?5A829M<05<F1=97C7<;O;3b>HEWK_X\D@PPSMSW2=IM]]D^F:4NNLF5>I53FA:7]:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_RD38U`=UIDH::R]>8^Q2<4=T9:1XE@QLOMNJWLIIWJBNOF84SNWQG@1<[[\J@RO8;RPUEIYE<2YX^L:4SRPA0>R^XL827X> gsd-vc)`d9$yh"i}sr,qwqu(k8%hm\7f|vndv?4;4>3\:$k\7fh!rg-dh5(ul&my\7f~ }suq,g4)di{xrbhz31?0:?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-`ewt~fl~7>3<6;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!laspzj`r;;78m7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%laxv!glY3Y+aj9'g:>k5Z0.eqb+ta'nf;"\7fj gsqp*wus{&i:#jczx/en_4[)ody%a~<i;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!hmtz-ch]5U'mf\7f#c|2g9V4*aun'xm#jb?.sf,cwut&{y\7f\7f"m>/fov|+ajS:W%k`}!mr0e?P6(o{l%~k!hl1,q`*au{z$y\7fy} c0-dip~)odQ?Q#ibs/op66=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;878?7X> gsd-vc)`d9$yh"i}sr,qwqu(k8%}=1>11318Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28485<2_;#j|i.sd,ci6)zm%l~~}!rrvp+f7(~86:2<<<;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=0=61=R8&myj#|i/fn3*wb(o{yx"\7f}{s.a2+s7;:7;9?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j;$z<2<>368Q5)`zo$yj"ic0/pg+btt{'xxx~!l1.t28686::1^<"i}f/pe+bj7&{n$k\7f}|.sqww*e6'\7f;783<;;T2,cw`)zo%l`= }d.eqwv(u{}y$o<!y1=6=57?<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*efz{seiy2?>3;8Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.abvw\7fim}6:2?74U1-dvc(un&mg<#|k/fppw+tt|z%h>"mnrs{maq:56;30Y=!hrg,qb*ak8'xo#j||s/pppv)d:&ij~\7fwaeu>0:7`<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*aj}q$laV>R.fo2*h75n2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(od\7fs"jcT1\,div(j{;l0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&mfyu hmZ0^*bkt&dy9j6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$k`{w.foX7X(`ez$f\7f?h4U1-dvc(un&mg<#|k/fppw+tt|z%h>"ibuy,di^2Z&ngx"`}=3:W3+bta&{l$ka>!re-dvvu)zz~x#n< v0>3:75<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p64849?6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<2=>318Q5)`zo$yj"ic0/pg+btt{'xxx~!l2.t28685;2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(~86?2?l4U1-dvc(un&mg<#|k/fppw+tt|z%h>"x>_1]bja6789;9n6[?/fpe*w`(oe:%~i!hrrq-vvrt'j8$z<Q>_`lg45679;h0Y=!hrg,qb*ak8'xo#j||s/pppv)d:&|:S?Qnne234575j2_;#j|i.sd,ci6)zm%l~~}!rrvp+f4(~8U8Sl`k012357d<]9%l~k }f.eo4+tc'nxx\7f#||tr-`6*p6W=Ujbi>?01314>S7'nxm"\7fh gm2-va)`zzy%~~z|/bmnt5473\:$k\7fh!rg-dh5(ul&my\7f~ }suq,gjkw9;30Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\m67<]9%l~k }f.eo4+tc'nxx\7f#||tr-qehYbey~rSklPi^ov|5678::0Y=!hrg,qb*ak8'xo#j||s/pppv)uidUna}zv_g`\mZiu89:;?95Z0.eqb+ta'nf;"\7fj gsqp*wus{&xjaRkbpu{\bgYnWfx;<=>PSV212>S7'nxm"\7fh gm2-va)`zzy%~~z|/scn[`kw|pUb=i5Z0.eqb+ta'nf;"\7fj r`o\vaYbfVc:>>5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu07?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphs9;>0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|Vidycz=259V4*aun'xm#jb?.sf,vuhsz&Ghcx`{_bmvjq55<2_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fex9<;;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw172<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~=>95Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu510>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|18?7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{93;8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXkp6;2?m4U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:76Vx\7f>45Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]`}979:j1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=3=[wr512_;#j|i.sd,ci6)zm%y|cz}/LalqkrXkf\7fexRmv<3<1g>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0?0Pru0:?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWjs7?3<l;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;;7Uyx?74U1-dvc(un&mg<#|k/srmpw)Jkf\7fexRm`uov\g|:36;i0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>7:Zts:01^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQly=7=6f=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Uhu1;1_sv1=>S7'nxm"\7fh gm2-va)uxg~y#@m`uov\gjsi|Vir0;0=c:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZe~4?4T~y<6;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[f\7f;?78h7X> gsd-vc)`d9$yh"|\7fnup,Ifirf}Uhcx`{_b{?3;Yu|;30Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPcx>;:7e<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Tot27>^pw6d=R8&myj#|i/fn3*wb(zyd\7f~"Clotlw[firf}Usc\7f2?>3c8Q5)`zo$yj"ic0/pg+wvi|{%Fob{at^alqkrXpfx7=3<n;T2,cw`)zo%l`= }d.psjqt(Eje~byQlotlw[}iu4;49m6[?/fpe*w`(oe:%~i!}povq+Heh}g~Tob{at^zlv959:h1^<"i}f/pe+bj7&{n$~}`{r.O`kphsWje~byQwos>7:7g<]9%l~k }f.eo4+tc'{zex\7f!BcnwmpZeh}g~Ttb|35?0b?P6(o{l%~k!hl1,q`*twf}x$Anaznu]`kphsWqey0;0=a:W3+bta&{l$ka>!re-qtkru'DidyczPcnwmpZ~hz5=5>l5Z0.eqb+ta'nf;"\7fj rqlwv*Kdg|d\7fSnaznu]{kw:?6;k0Y=!hrg,qb*ak8'xo#\7f~ats-Ngjsi|VidyczPxnp?=;4c3\:$k\7fh!rg-dh5(ul&x{by| MbmvjqYdg|d\7fSua}<8<\vq7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9699o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;97;m7X> gsd-vc)`d9$yh"|\7fnup,gjsi|585=k5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~7?3?i;T2,cw`)zo%l`= }d.psjqt(kf\7fex1:11g9V4*aun'xm#jb?.sf,vuhsz&idycz35?3e?P6(o{l%~k!hl1,q`*twf}x$ob{at=4=5c=R8&myj#|i/fn3*wb(zyd\7f~"m`uov?3;7a3\:$k\7fh!rg-dh5(ul&x{by| cnwmp9>99o1^<"i}f/pe+bj7&{n$~}`{r.alqkr;17;n7X> gsd-vc)`d9$yh"|\7fnup,gjsi|V::i6[?/fpe*w`(oe:%~i!}povq+firf}U:=h5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~T><k4U1-dvc(un&mg<#|k/srmpw)dg|d\7fS>?j;T2,cw`)zo%l`= }d.psjqt(kf\7fexR:>e:W3+bta&{l$ka>!re-qtkru'je~byQ:1d9V4*aun'xm#jb?.sf,vuhsz&idyczP60g8Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_63f?P6(o{l%~k!hl1,q`*twf}x$ob{at^:2a>S7'nxm"\7fh gm2-va)uxg~y#naznu]:67=R8&myj#|i/fn3*wb(zyd\7f~"m`uov\`4:76;80Y=!hrg,qb*ak8'xo#\7f~ats-`kphsWm;7=3<=;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj><3<16>S7'nxm"\7fh gm2-va)uxg~y#naznu]g5959:;1^<"i}f/pe+bj7&{n$~}`{r.alqkrXl86?2?<4U1-dvc(un&mg<#|k/srmpw)dg|d\7fSi?35?01?P6(o{l%~k!hl1,q`*twf}x$ob{at^f28385:2_;#j|i.sd,ci6)zm%y|cz}/bmvjqYc95=5>?5Z0.eqb+ta'nf;"\7fj rqlwv*eh}g~Th<27>308Q5)`zo$yj"ic0/pg+wvi|{%hcx`{_e3?=;463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W98:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S<<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_302?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[6463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W=8:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S8<>;T2,cw`)zo%l`= }d.psjqt(kf\7fexRj>_702?P6(o{l%~k!hl1,q`*twf}x$ob{at^f2[2463\:$k\7fh!rg-dh5(ul&x{by| cnwmpZb6W18:7X> gsd-vc)`d9$yh"|\7fnup,gjsi|Vn:S4<6;T2,cw`)zo%l`= xr.etwv(p{}y$o=!laspzj`r;87827X> gsd-vc)`d9$|~"ixsr,twqu(k9%hm\7f|vndv?5;4>3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)di{xrbhz32?0:?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-`ewt~fl~7?3<i;T2,cw`)zo%l`= xr.etwv(p{}y$o=!hmtz-ch]7U'mf=#c>2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m?/fov|+ajS8W%k`}!mr0e?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c1-dip~)odQ9Q#ibs/op6c=R8&myj#|i/fn3*rt(o~yx"z}{s.a3+bkrp'mfW>S!glq-iv4a3\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,g5)`e|r%k`U;]/enw+kt:01^<"i}f/pe+bj7&~x$kz}|.vqww*e6'jky~t`jt=2=6<=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+fguzpdnx1?1289V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/bcqv|hb|585>45Z0.eqb+ta'nf;"z| gvqp*rus{&i:#no}rxlfp959:o1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'ng~t#ib[1_-ch7)e88m7X> gsd-vc)`d9$|~"ixsr,twqu(k8%laxv!glY2Y+aj{'gx>k5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#jczx/en_7[)ody%a~<i;T2,cw`)zo%l`= xr.etwv(p{}y$o<!hmtz-ch]4U'mf\7f#c|2g9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/fov|+ajS=W%k`}!mr00?P6(o{l%~k!hl1,tv*ap{z$|\7fy} c0-u5969::1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'\7f;7=3<<;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1=0=66=R8&myj#|i/fn3*rt(o~yx"z}{s.a2+s7;;7887X> gsd-vc)`d9$|~"ixsr,twqu(k8%}=1:12c9V4*aun'xm#jb?.vp,crut&~y\7f\7f"m>/w3\4Zgil9:;<<<m;T2,cw`)zo%l`= xr.etwv(p{}y$o<!y1^3\ekb789::>o5Z0.eqb+ta'nf;"z| gvqp*rus{&i:#{?P2^cm`567888i7X> gsd-vc)`d9$|~"ixsr,twqu(k8%}=R=Paof34566:k1^<"i}f/pe+bj7&~x$kz}|.vqww*e6'\7f;T8Road12344473\:$k\7fh!rg-dh5(pz&m|\7f~ xsuq,gjkw8;:0Y=!hrg,qb*ak8'}y#jy|s/uppv)dgdz:>55Z0.eqb+ta'nf;"z| gvqp*rus{&xjaRhzlm]`khd5i2_;#j|i.sd,ci6)\7f{%l{~}!wrvp+wgjWo\7fg`Rm`mc366<=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUhc`l=2`9V4*aun'xm#jb?.vp,crut&~y\7f\7f"|nm^dvhiYdgdh98?74U1-dvc(un&mg<#y}/fupw+qt|z%ym`Qiumn\gjke1;n0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[hgw9;n0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[hgw=;n0Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPcnoa[hgw0;20Y=!hrg,qb*ak8'}y#jy|s/uppv)uidUmyabPfc]j60=R8&myj#|i/fn3*rt(o~yx"z}{s.pbiZ`rdeUb>95Z0.eqb+ta'nf;"z| gvqp*rus{&}yS\7f}{_e32`>S7'nxm"\7fh gm2-sw)uidU|~Rka_h317>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|;>0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|Vidycz>259V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjq45<2_;#j|i.sd,ci6)\7f{%||cz}/LalqkrXkf\7fex><;;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw072<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~>>95Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu410>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|>8?7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{8368Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkr>:01^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQly=2=6f=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1>1_sv1=>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vir0<0=c:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~484T~y<6;T2,cw`)zo%l`= xr.usjqt(Eje~byQlotlw[f\7f;:78h7X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?6;Yu|;30Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPcx>0:7e<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot2<>^pw6<=R8&myj#|i/fn3*rt(\7fyd\7f~"Clotlw[firf}Uhu1:12b9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq5>5S\7fz=9:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZe~4<49o6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^az808Xz}827X> gsd-vc)`d9$|~"y\7fnup,Ifirf}Uhcx`{_b{?2;4d3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSnw36?]qp7?<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Tot28>3a8Q5)`zo$yj"ic0/uq+rvi|{%Fob{at^alqkrXkp6<2R|{289V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqYdq525>n5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]`}9>9W{~9m6[?/fpe*w`(oe:%{\7f!xpovq+Heh}g~Tob{at^zlv969:h1^<"i}f/pe+bj7&~x${}`{r.O`kphsWje~byQwos>2:7g<]9%l~k }f.eo4+qu'~zex\7f!BcnwmpZeh}g~Ttb|32?0b?P6(o{l%~k!hl1,tv*qwf}x$Anaznu]`kphsWqey0>0=a:W3+bta&{l$ka>!ws-ttkru'DidyczPcnwmpZ~hz5>5>l5Z0.eqb+ta'nf;"z| wqlwv*Kdg|d\7fSnaznu]{kw:26;k0Y=!hrg,qb*ak8'}y#z~ats-Ngjsi|VidyczPxnp?2;4f3\:$k\7fh!rg-dh5(pz&}{by| MbmvjqYdg|d\7fSua}<6<1e>S7'nxm"\7fh gm2-sw)pxg~y#@m`uov\gjsi|Vrd~1612`9V4*aun'xm#jb?.vp,suhsz&Ghcx`{_bmvjqY\7fg{622?j4U1-dvc(un&mg<#y}/vrmpw)Jkf\7fexRm`uov\|jt;17Uyx<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f0=0>f:W3+bta&{l$ka>!ws-ttkru'je~by2>>0d8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{<3<2b>S7'nxm"\7fh gm2-sw)pxg~y#naznu>0:4`<]9%l~k }f.eo4+qu'~zex\7f!lotlw8186n2_;#j|i.sd,ci6)\7f{%||cz}/bmvjq:268l0Y=!hrg,qb*ak8'}y#z~ats-`kphs4?4:j6[?/fpe*w`(oe:%{\7f!xpovq+firf}6<2<h4U1-dvc(un&mg<#y}/vrmpw)dg|d\7f050>f:W3+bta&{l$ka>!ws-ttkru'je~by26>0g8Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_13f?P6(o{l%~k!hl1,tv*qwf}x$ob{at^32a>S7'nxm"\7fh gm2-sw)pxg~y#naznu]15`=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\74c<]9%l~k }f.eo4+qu'~zex\7f!lotlw[17b3\:$k\7fh!rg-dh5(pz&}{by| cnwmpZ36m2_;#j|i.sd,ci6)\7f{%||cz}/bmvjqY19l1^<"i}f/pe+bj7&~x${}`{r.alqkrX?8o0Y=!hrg,qb*ak8'}y#z~ats-`kphsW1;n7X> gsd-vc)`d9$|~"y\7fnup,gjsi|V39>6[?/fpe*w`(oe:%{\7f!xpovq+firf}Uo=1>1239V4*aun'xm#jb?.vp,suhsz&idyczPd0>2:74<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7;:7897X> gsd-vc)`d9$|~"y\7fnup,gjsi|Vn:0>0=2:W3+bta&{l$ka>!ws-ttkru'je~byQk1=6=67=R8&myj#|i/fn3*rt(\7fyd\7f~"m`uov\`4:26;80Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;7:3<=;T2,cw`)zo%l`= xr.usjqt(kf\7fexRj><6<16>S7'nxm"\7fh gm2-sw)pxg~y#naznu]g59>9:;1^<"i}f/pe+bj7&~x${}`{r.alqkrXl8622??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P0338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\577<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X:;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T???4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P4338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\177<]9%l~k }f.eo4+qu'~zex\7f!lotlw[a7X>;;0Y=!hrg,qb*ak8'}y#z~ats-`kphsWm;T;??4U1-dvc(un&mg<#y}/vrmpw)dg|d\7fSi?P8338Q5)`zo$yj"ic0/uq+rvi|{%hcx`{_e3\=31<]9%l~k }f.ofi*aee'miaj hbleb*kabkj$iaj!mlj]nahY`mgoymya}/wcoma(d{}x$~lcconrX4X(uid$y#{<!r`o,`u7?p82%~lc>b:W3+bta&{l$ahc tlr\vdkXzmUnb<m4U1-dvc(un&gna"zbp^pbiZtcWld:=>5Z0.eqb+ta'{kfS\7fjPeo30?P6(o{l%~k!}al]tvZci9m1^<"i}f/pe+wusjea$~iQ}su]bwwc`:91^<"i}f/pe+wusjea$~iQ}su]bwwc`Wm;9<6[?/fpe*w`(zz~i`f!}d^pppZgtzlmTh??l;T2,cw`)zo%y\7fylck.pg[wusWjefn<j4U1-dvc(un&xxxobd/sf\vvrXkfgi=<k4U1-dvc(un&xxxobd/sf\vvrXzlm7<3?j;T2,cw`)zo%y\7fylck.pg[wusW{ol0?0>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR>>d:W3+bta&{l$~~zmlj-q`Ztt|VxnkR<>d:W3+bta&{l$~~zmlj-tvZtt|Vkx~hi=0:W3+bta&{l$~~zmlj-tvZtt|Vkx~hiPd003?P6(o{l%~k!}su`oo*quW{y\7fSl}}ef]g64e<]9%l~k }f.pppgjl'~xT~~zPcnoa5a=R8&myj#|i/sqwfim(\7f{Uy\7fyQlol`25`=R8&myj#|i/sqwfim(\7f{Uy\7fyQ}ef>2:4b<]9%l~k }f.pppgjl'~xT~~zPrde\5d=R[LXTMAGNSb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K<;VGB7>QBJk1\^DZJ_GKQWQe<_[C_IRC@DD]Bg>QUA]OTABJJ_C3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]YDG[OTECH@119[[FIUMVCEJBQCIRV5?]beW@nm7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i\7f}fooa8eikh{}Una}zvb:coijusWo\7fg`;5mabivta=eija~|Rcnrjgq7>ekcm1omyoPcnwmp-6.l2njxlQlotlw,4/c3mk\7fmRm`uov+6,b<lh~jSnaznu*0-a=ci}kTob{at)6*`>bf|hUhcx`{(4+g?agsiVidycz'6(f8`drfWje~by&8)e9geqgXkf\7fex%6&d:fbpdYdg|d\7f$4'i;ecweZeh}g~757>17:famqcuz?1oec&?)79gmk.6!>1oec&>0(58`lh/98#<7iga(00*3>bnf!;8%:5kio*20,1<l`d#=8'8;ekm,40.?2nbb%?8)79gmk.5!?1oec&<)79gmk.3!?1oec&:)79gmk.1!?1oec&8)79gmk.?!?1oec&6)79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;1<l`d7=808;ekm840912nbb1?8:1<4?aoi48=5:6jfn=3=2>bnf585:6jfn=1=2>bnf5>5:6jfn=7=2>bnf5<5:6jfn=5=2>bnf525:6jfn=;=3>bh}}";%:5kotv+5,><lf\7f\7f$<>&8:flqq.69 20hb{{(00*<>bh}}":?$64dnww,42.02ndyy&>5(:8`jss 8<"46j`uu*23,1<lf\7f\7f$?'8;emvp-5.?2ndyy&;)69gkpr/= =0hb{{(7+4?air|!=";6j`uu*;-2=cg|~#5$94dnww858?3me~x1??>99gkpr;98437iazt=31:==cg|~7=>07;emvp973611ocxz314<;?air|5;=2l5kotv?52<7611ocxz316<4?air|5;5;6j`uu>1:2=cg|~7?394dnww81803me~x1;17:flqq:16>1ocxz37?58`jss414<7iazt=;=<>ccao8eki;4elrw}7><n`ldSjkaescwkwYq<V8',Na}efgm$<(5&9>bSd~=4:dvhi1<ag~Toae7;oe`fpokl11dzh|ilnub?uthoVof|yw>4:rqkbYbey~rSyf}erj+4,733yxdkRkbpu{\pmtb{a":%<:4psmd[`kw|pU\7fd\7fk|h)0*51=wzfmTi`~{y^vkv`uo :#:86~}of]fiur~W}byi~f'4(37?uthoVof|ywPtipfwm.2!8>0|\7fah_dosp|Ys`{oxd%8&159svjaXmdz\7fuRzgrdqk,2/6<2zycjQjmqvz[qnumzb#4$?;;qplcZcjx}sTxe|jsi*:-40<x{elShc\7ftx]wlwct`531<3?m;qplcZcjx}sTxe|jsi]bwvcu|!:"=o5\7frne\ahvsqV~c~h}g_`qpawr/9 ;i7}|`g^gntq\7fX|axn\7feQnsrgqp-4.9k1{~biPelrw}ZrozlycSl}|esv+7,7e3yxdkRkbpu{\pmtb{aUj\7f~k}t)6*5g=wzfmTi`~{y^vkv`uoWhyxi\7fz'5(3a?uthoVof|ywPtipfwmYf{zoyx%8&1c9svjaXmdz\7fuRzgrdqk[dutm{~#;$?m;qplcZcjx}sTxe|jsi]bwvcu|!2"=o5\7frne\ahvsqV~c~h}g_`qpawr/1 ;o7}|`g^gntq\7fX|axn\7feQnsrgqp9?=87;i7}|`g^gntq\7fX|axn\7feQaefcwa-6.9k1{~biPelrw}ZrozlycSckhaug+5,7e3yxdkRkbpu{\pmtb{aUeijo{e)0*5g=wzfmTi`~{y^vkv`uoWgolmyk'3(3a?uthoVof|ywPtipfwmYimnk\7fi%:&1c9svjaXmdz\7fuRzgrdqk[kc`i}o#9$?m;qplcZcjx}sTxe|jsi]mabgsm!<"=o5\7frne\ahvsqV~c~h}g_ogdeqc/? ;i7}|`g^gntq\7fX|axn\7feQaefcwa->.9k1{~biPelrw}ZrozlycSckhaug+=,7c3yxdkRkbpu{\pmtb{aUeijo{e=;94;?<x{elSk{cl018twi`Wo\7fg`Rzgrdqk,5/6;2zycjQiumn\pmtb{a":%<=4psmd[cskdV~c~h}g(3+27>vugnUmyabPtipfwm.4!890|\7fah_gwohZrozlyc$9'>3:rqkbYa}efTxe|jsi*6-45<x{elSk{cl^vkv`uo ?#:?6~}of]eqijX|axn\7fe&8)018twi`Wo\7fg`Rzgrdqk,=/6;2zycjQiumn\pmtb{a"2%<;4psmd[cskdV~c~h}g<883:4g<x{elSk{cl^vkv`uoWhyxi\7fz'0(3b?uthoVl~`aQ{hsgplZgt{lx\7f$<'>a:rqkbYa}efTxe|jsi]bwvcu|!8"=l5\7frne\bpjkW}byi~fParqfvq.4!8k0|\7fah_gwohZrozlycSl}|esv+0,7f3yxdkRhzlm]wlwct`Vkx\7fh|{(4+2e>vugnUmyabPtipfwmYf{zoyx%8&1`9svjaXn|fgSyf}erj\evubz}"<%<o4psmd[cskdV~c~h}g_`qpawr/0 ;j7}|`g^dvhiYs`{oxdRo|sdpw,</6k2zycjQiumn\pmtb{aUj\7f~k}t=;94;7f3yxdkRhzlm]wlwct`Vdnklzj(1+2e>vugnUmyabPtipfwmYimnk\7fi%?&1`9svjaXn|fgSyf}erj\j`af|l"9%<o4psmd[cskdV~c~h}g_ogdeqc/; ;j7}|`g^dvhiYs`{oxdR`jg`vf,1/6i2zycjQiumn\pmtb{aUeijo{e)7*5d=wzfmTjxbc_ujqavnXflmjxh&9)0c8twi`Wo\7fg`Rzgrdqk[kc`i}o#;$?n;qplcZ`rdeU\7fd\7fk|h^lfcdrb 1#:m6~}of]eqijX|axn\7feQaefcwa-?.9j1{~biPftno[qnumzbTbhintd>:>5813{nToae>0:pg[agsiVidycz'0(33?wbXlh~jSnaznu*2-46<zmUomyoPcnwmp-4.991yhRjnt`]`kphs :#:<6|k_ecweZeh}g~#8$??;sf\`drfWje~by&:)028vaYci}kTob{at)4*55=ulVnjxlQlotlw,2/682xoSio{a^alqkr/0 ;;7\7fjPd`vb[firf}"2%<>4re]geqgXkf\7fex1>1139q`Zbf|hUhcx`{<883:0=ulVoe:6|k_sqw7>tt|>1xndzjrs68wwus:?1\7fa}!Pcf-{mioipVlbjbQku`nskwrX91r:g#`ho89vjacunee|96xnlhf5?rtXija=7z|Pcmi24>quWmk\7fmRm`uov+4,773~xThlzn_bmvjq.6!8:0{\7fQkauc\gjsi|!8"==5xr^fbpdYdg|d\7f$>'>0:uq[agsiVidycz'4(33?rtXlh~jSnaznu*6-46<\7f{UomyoPcnwmp-0.991|~Rjnt`]`kphs >#:<6y}_ecweZeh}g~#4$??;vp\`drfWje~by&6)008swYci}kTob{at=;94;3<\7f{Unb;5xr^ppp4g<p`fbbuQiigm\`pgkxfx\7fS<6w1j17?}okagrTjdh`_ewbhuiu|V;3t<ePfhdl[bcim{k\7fc\7fQy4^0\|vrX9tJK|;>?;AB{740=N3>1=v];4;0a=?3=9:8=:;?51ecb0~h5=j0:7c<:d;68 73f2;?87p];3;0a=?3=9:8=:;?51ecb0>Ua<38ii7>51205237=9mkj96];3;0aa?6=9:8=:;?51ecb2>b4:10;6<4>{R67>7d>2<0:??896082`dg33-8>47<mb:tW56?=83;1=7m7{R67>7d>2<0:??896082`dg33-8?h7h:;W06f?4|}on1=6{ie;28y!7493;o7o==8;296d<42;kpD?:m;[1`>7}6>3;>6p*>3d806==#:<21>ol4Z37:>7}5jk09no4ri232>5<<a:8=6=44o3``>5<<g;hj6=44i341>5<<a:;86=44o3;g>5<#9:91>lk4n011>5=<g;3h6=4+12196dc<f8996<54o3;a>5<#9:91>lk4n011>7=<g;3j6=4+12196dc<f8996>54o3;:>5<#9:91>lk4n011>1=<g;336=4+12196dc<f8996854o3;4>5<#9:91>lk4n011>3=<g;3=6=4+12196dc<f8996:54o3c6>5<#9:91>lk4n011>==<g;k?6=4+12196dc<f8996454o3c0>5<#9:91>lk4n011>d=<g;k96=4+12196dc<f8996o54o3c2>5<#9:91>lk4n011>f=<g;k;6=4+12196dc<f8996i54o3;e>5<#9:91>lk4n011>`=<g;3n6=4+12196dc<f8996k54o3;6>5<#9:91>lk4n011>46<3f8287>5$010>7gb3g;8>7?>;:k13=<72-;8?7<79:l277<732c9;:4?:%307?4?12d:??4>;:k133<72-;8?7<79:l277<532c9;84?:%307?4?12d:??4<;:k131<72-;8?7<79:l277<332c9;>4?:%307?4?12d:??4:;:k137<72-;8?7<79:l277<132c9;<4?:%307?4?12d:??48;:k1<5<72-;8?7<79:l277<?32c9;k4?:%307?4?12d:??46;:k13`<72-;8?7<79:l277<f32c9;i4?:%307?4?12d:??4m;:k13f<72-;8?7<79:l277<d32c9;o4?:%307?4?12d:??4k;:k13d<72-;8?7<79:l277<b32c9;44?:%307?4?12d:??4i;:k135<72-;8?7<79:l277<6821b>;h50;&276<5001e=><51098f72d290:6=4?{I07f>"6;l098n5`12294?=zjh;1<7?50;2xL72e3-;8i7o>;nc3>5<<uk9i6=466;c2>f>|@;>i7W=l:04x<?e=n331==4m:04950<c2l0j6h4>0;35>c<>28?1h7m5b;c9<?{#9:o1??74$g39=c=#:<21>ol4$00a>44a3f8>i7>5;h126?6=3`8hm7>5$010>7ee3g;8>7>4;h0`=?6=,8986?mm;o306?7<3`8h47>5$010>7ee3g;8>7<4;h0`3?6=,8986?mm;o306?5<3`8h:7>5$010>7ee3g;8>7:4;h0`1?6=,8986?mm;o306?3<3`8h87>5$010>7ee3g;8>784;h0`7?6=,8986?mm;o306?1<3`8h>7>5$010>7ee3g;8>764;h0`5?6=,8986?mm;o306??<3f8n>7>5;h121?6=3f9:47>5;h0e3?6=,8986?h7;o306?6<3`8m:7>5$010>7`?3g;8>7?4;h0e1?6=,8986?h7;o306?4<3`8m87>5$010>7`?3g;8>7=4;h0e7?6=,8986?h7;o306?2<3`8m>7>5$010>7`?3g;8>7;4;h0e5?6=,8986?h7;o306?0<3`8m<7>5$010>7`?3g;8>794;h0fb?6=,8986?h7;o306?><3`8ni7>5$010>7`?3g;8>774;h125?6=3f8h<7>5;n0ab?6=3`8==7>5;n13a?6=,8986>??;o306?6<3f9;h7>5$010>6773g;8>7?4;n13g?6=,8986>??;o306?4<3f9;n7>5$010>6773g;8>7=4;n13e?6=,8986>??;o306?2<3f9;57>5$010>6773g;8>7;4;n13<?6=,8986>??;o306?0<3f9;;7>5$010>6773g;8>794;n132?6=,8986>??;o306?><3f9;97>5$010>6773g;8>774;h0g1?6=,8986?j9;o306?6<3`8o87>5$010>7b13g;8>7?4;h0g7?6=,8986?j9;o306?4<3`8o>7>5$010>7b13g;8>7=4;h0g5?6=,8986?j9;o306?2<3`8o<7>5$010>7b13g;8>7;4;h0`b?6=,8986?j9;o306?0<3`8hi7>5$010>7b13g;8>794;h0``?6=,8986?j9;o306?><3`8ho7>5$010>7b13g;8>774;h112?6=3f9987>5$010>6423g;8>7>4;n117?6=,8986><:;o306?7<3f99>7>5$010>6423g;8>7<4;n115?6=,8986><:;o306?5<3f99<7>5$010>6423g;8>7:4;n12b?6=,8986><:;o306?3<3f9:i7>5$010>6423g;8>784;n12`?6=,8986><:;o306?1<3f9:o7>5$010>6423g;8>764;n12f?6=,8986><:;o306??<3f8io7>5;n0ae?6=3`9:m7>5;h0fg?6=,8986?kk;o306?6<3`8nn7>5$010>7cc3g;8>7?4;h0fe?6=,8986?kk;o306?4<3`8n57>5$010>7cc3g;8>7=4;h0f<?6=,8986?kk;o306?2<3`8n;7>5$010>7cc3g;8>7;4;h0f2?6=,8986?kk;o306?0<3`8n97>5$010>7cc3g;8>794;h0f0?6=,8986?kk;o306?><3`8n?7>5$010>7cc3g;8>774;h0a`?6=3`8=>7>5;h113?6=3f9:87>5;n12=?6=3f9:;7>5;h127?6=3f82h7>5$010>7gb3g;8>7>4;n0:g?6=,8986?oj;o306?7<3f82n7>5$010>7gb3g;8>7<4;n0:e?6=,8986?oj;o306?5<3f8257>5$010>7gb3g;8>7:4;n0:<?6=,8986?oj;o306?3<3f82;7>5$010>7gb3g;8>784;n0:2?6=,8986?oj;o306?1<3f8j97>5$010>7gb3g;8>764;n0b0?6=,8986?oj;o306??<3f8j?7>5$010>7gb3g;8>7o4;n0b6?6=,8986?oj;o306?d<3f8j=7>5$010>7gb3g;8>7m4;n0b4?6=,8986?oj;o306?b<3f82j7>5$010>7gb3g;8>7k4;n0:a?6=,8986?oj;o306?`<3f8297>5$010>7gb3g;8>7??;:m1=1<72-;8?7<ne:l277<6921b>:650;&276<5001e=><50:9j621=83.:?>4=889m564=921b>:850;&276<5001e=><52:9j623=83.:?>4=889m564=;21b>::50;&276<5001e=><54:9j625=83.:?>4=889m564==21b>:<50;&276<5001e=><56:9j627=83.:?>4=889m564=?21b>5>50;&276<5001e=><58:9j62`=83.:?>4=889m564=121b>:k50;&276<5001e=><5a:9j62b=83.:?>4=889m564=j21b>:m50;&276<5001e=><5c:9j62d=83.:?>4=889m564=l21b>:o50;&276<5001e=><5e:9j62?=83.:?>4=889m564=n21b>:>50;&276<5001e=><51198m70a290/=>=529;8j45528;07b<:f;29?l4183:17d=?2;29 4542::87c?<2;28?l5793:1(<=<:220?k74:3;07d=?0;29 4542::87c?<2;08?l4an3:1(<=<:220?k74:3907d<ie;29 4542::87c?<2;68?l4al3:1(<=<:220?k74:3?07d<ic;29 4542::87c?<2;48?l4aj3:1(<=<:220?k74:3=07d<ia;29 4542::87c?<2;:8?l4a13:1(<=<:220?k74:3307b<9c;29 4542;<o7c?<2;28?j41j3:1(<=<:34g?k74:3;07b<9a;29 4542;<o7c?<2;08?j4113:1(<=<:34g?k74:3907b<98;29 4542;<o7c?<2;68?j41?3:1(<=<:34g?k74:3?07b<96;29 4542;<o7c?<2;48?j41=3:1(<=<:34g?k74:3=07b<94;29 4542;<o7c?<2;:8?j41;3:1(<=<:34g?k74:3307b<9e;29?l4b83:1(<=<:3g2?k74:3:07d<kf;29 4542;o:7c?<2;38?l4cm3:1(<=<:3g2?k74:3807d<kd;29 4542;o:7c?<2;18?l4ck3:1(<=<:3g2?k74:3>07d<kb;29 4542;o:7c?<2;78?l4ci3:1(<=<:3g2?k74:3<07d<k9;29 4542;o:7c?<2;58?l4c03:1(<=<:3g2?k74:3207d<k7;29 4542;o:7c?<2;;8?l57<3:17o<:6;295?6=8r.:?h4n1:J110=O:=h0cl>50;9~f730290:6=4?{%30a?43k2B9985G25`8k4572900qo<<c;297?6=8r.:?h46b:J110=O:=h0D>74$`g95>o4l3:17d:::188k4522900qo<;5;297?6=8r.:?h46b:J110=O:=h0D>74$`g95>o4l3:17d:::188k4522900qo<<b;297?6=8r.:?h46b:J110=O:=h0D>74$`g95>o4l3:17d:::188k4522900qo<;3;291?6=8r.:?h46e:J110=O:=h0D>74$`g95>o4l3:17d=j:188m13=831b=>:50;9l563=831vn?:;:180>5<7s-;8i77m;I061>N5<k1C?45+ad82?l5c2900e9;50;9l563=831vn?=n:186>5<7s-;8i77j;I061>N5<k1C?45+ad82?l5c2900e>k50;9j00<722c:?94?::m270<722wi>9<50;694?6|,89n64j4H376?M43j2.ji7?4i2f94?=n<<0;66g>3583>>i6;<0;66sm25394?2=83:p(<=j:8f8L7323A8?n6*ne;38m6b=831b884?::k271<722e:?84?::\7fa61?=83>1<7>t$01f><b<@;?>7E<;b:&ba?7<a:n1<75f4483>>o6;=0;66a>3483>>{e9?=1<7:50;2x 45b20n0D?;:;I07f>"fm3>0e>j50;9j00<722c:?94?::m270<722wi=;l50;694?6|,89n64j4H376?M43j2.ji7:4i2f94?=n<<0;66g>3583>>i6;<0;66sm1d194?2=83:p(<=j:8f8L7323A8?n6*ne;38m6b=831b884?::k271<722e:?84?::\7fa5`2=83>1<7>t$01f><b<@;?>7E<;b:&ba?2<a:n1<75f4483>>o6;=0;66a>3483>>{e9l81<7:50;2x 45b20n0D?;:;I07f>"fm3;0e>j50;9j00<722c:?94?::m270<722wi=9950;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk;?:7>54;294~"6;l0mo6F=549K61d<a:l1<75f4683>>o6;>0;66a>2d83>>{e9=?1<7:50;2x 45b2oi0D?;:;I07f>o4n3:17d:8:188m4502900c<<j:188yg73<3:187>50z&27`<ak2B9985G25`8m6`=831b8:4?::k272<722e:>h4?::\7fa515=83>1<7>t$01f>ce<@;?>7E<;b:k0b?6=3`><6=44i014>5<<g88n6=44}c36e?6=<3:1<v*>3d8eg>N5=<1C>9l4i2d94?=n<>0;66g>3683>>i6:l0;66sm14;94?2=83:p(<=j:ga8L7323A8?n6g<f;29?l202900e<=8:188k44b2900qo?:8;290?6=8r.:?h4ic:J110=O:=h0e>h50;9j02<722c:?:4?::m26`<722wi=8950;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk;>:7>54;294~"6;l0mo6F=549K61d<a:l1<75f4683>>o6;>0;66a>2d83>>{e9j>1<7:50;2x 45b2oi0D?;:;I07f>o4n3:17d:8:188m4502900c<<j:188yg7d;3:187>50z&27`<ak2B9985G25`8m6`=831b8:4?::k272<722e:>h4?::\7fa5f4=83>1<7>t$01f>ce<@;?>7E<;b:k0b?6=3`><6=44i014>5<<g88n6=44}c3`5?6=<3:1<v*>3d8eg>N5=<1C>9l4i2d94?=n<>0;66g>3683>>i6:l0;66sm1b294?2=83:p(<=j:ga8L7323A8?n6g<f;29?l202900e<=8:188k44b2900qo?ld;290?6=8r.:?h4ic:J110=O:=h0e>h50;9j02<722c:?:4?::m26`<722wi=nm50;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk;hn7>54;294~"6;l0mo6F=549K61d<a:l1<75f4683>>o6;>0;66a>2d83>>{e9jk1<7:50;2x 45b2oi0D?;:;I07f>o4n3:17d:8:188m4502900c<<j:188yg7d13:187>50z&27`<ak2B9985G25`8m6`=831b8:4?::k272<722e:>h4?::\7fa5d1=83?1<7>t$01f><e<@;?>7E<;b:&ba?7<a:n1<75f3d83>>o3:3:17d:::188k4522900qo?n6;291?6=8r.:?h46c:J110=O:=h0(lk51:k0`?6=3`9n6=44i5094?=n<<0;66a>3483>>{e9h>1<7;50;2x 45b20i0D?;:;I07f>"fm3;0e>j50;9j7`<722c?>7>5;h66>5<<g89>6=44}c3b7?6==3:1<v*>3d8:g>N5=<1C>9l4$`g95>o4l3:17d=j:188m14=831b884?::m270<722wi=l;50;794?6|,89n64m4H376?M43j2.ji7?4i2f94?=n;l0;66g;2;29?l222900c<=::188yg7f:3:197>50z&27`<>k2B9985G25`8 dc=92c8h7>5;h1f>5<<a=81<75f4483>>i6;<0;66sm1`394?3=83:p(<=j:8a8L7323A8?n6*ne;38m6b=831b?h4?::k76?6=3`>>6=44o016>5<<uk;2j7>55;294~"6;l02o6F=549K61d<,ho1=6g<d;29?l5b2900e9<50;9j00<722e:?84?::\7fa5<c=83?1<7>t$01f><c<@;?>7E<;b:&ba?2<a:n1<75f3d83>>o3=3:17d?<4;29?j74=3:17pl>a183>0<729q/=>k59b9K603<@;>i7)oj:09j7a<722c8i7>5;h61>5<<a=?1<75`12794?=zj8396=4<:183\7f!74m38>86F=549K61d<a:l1<75ff383>>i6:l0;66sm18394?5=83:p(<=j:377?M42=2B98o5f3g83>>oa:3:17b?=e;29?xd60>0;694?:1y'56c=nj1C>8;4H36a?l5a2900e9950;9j561=831d=?k50;9~f4?729086=4?{%30a?42<2B9985G25`8m6`=831bj?4?::m26`<722wi=5;50;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk;3j7>53;294~"6;l09995G2478L72e3`9m6=44ig094?=h9;o1<75rb0:0>5<3290;w)?<e;d`?M42=2B98o5f3g83>>o3?3:17d?<7;29?j75m3:17pl>8d83>6<729q/=>k52468L7323A8?n6g<f;29?l`52900c<<j:188yg7?93:187>50z&27`<ak2B9985G25`8m6`=831b8:4?::k272<722e:>h4?::\7fa5=b=8391<7>t$01f>7333A8>96F=4c9j7c<722cm>7>5;n31a?6=3th:;k4?:583>5}#9:o1jn5G2478L72e3`9m6=44i5594?=n9:=1<75`13g94?=zj82h6=4<:183\7f!74m38>86F=549K61d<a:l1<75ff383>>i6:l0;66sm16f94?2=83:p(<=j:ga8L7323A8?n6g<f;29?l202900e<=8:188k44b2900qo?7b;297?6=8r.:?h4=559K603<@;>i7d=i:188mc4=831d=?k50;9~f41e290?6=4?{%30a?`d3A8>96F=4c9j7c<722c?;7>5;h303?6=3f;9i7>5;|`2<d<72:0;6=u+12g9602<@;?>7E<;b:k0b?6=3`l96=44o00f>5<<uk;<57>54;294~"6;l0mo6F=549K61d<a:l1<75f4683>>o6;>0;66a>2d83>>{e9131<7=50;2x 45b2;??7E<:5:J10g=n;o0;66gi2;29?j75m3:17pl>7683>1<729q/=>k5fb9K603<@;>i7d=i:188m11=831b=>950;9l57c=831vn<lm:186>5<7s-;8i77l;I061>N5<k1/mh4>;h1g>5<<a:o1<75f4383>>o3=3:17b?<5;29?xd6jh0;684?:1y'56c=1j1C>8;4H36a?!gb281b?i4?::k0a?6=3`>96=44i5794?=h9:?1<75rb0`:>5<2290;w)?<e;;`?M42=2B98o5+ad82?l5c2900e>k50;9j07<722c?97>5;n301?6=3th:n54?:483>5}#9:o15n5G2478L72e3-kn6<5f3e83>>o4m3:17d:=:188m13=831d=>;50;9~f4d0290>6=4?{%30a??d3A8>96F=4c9'e`<63`9o6=44i2g94?=n<;0;66g;5;29?j74=3:17pl>b783>0<729q/=>k59b9K603<@;>i7)oj:09j7a<722c8i7>5;h61>5<<a=?1<75`12794?=zj8h>6=4::183\7f!74m33h7E<:5:J10g=#il0:7d=k:188m6c=831b8?4?::k71?6=3f;897>5;|`2f1<72<0;6=u+12g9=f=O:<?0D?:m;%cf>4=n;m0;66g<e;29?l252900e9;50;9l563=831vn<l<:186>5<7s-;8i77l;I061>N5<k1/mh4>;h1g>5<<a:o1<75f4383>>o3=3:17b?<5;29?xd6j;0;684?:1y'56c=1j1C>8;4H36a?!gb281b?i4?::k0a?6=3`>96=44i5794?=h9:?1<75rb303>5<2290;w)?<e;;`?M42=2B98o5+ad82?l5c2900e>k50;9j07<722c?97>5;n301?6=3th9=k4?:483>5}#9:o15n5G2478L72e3-kn6<5f3e83>>o4m3:17d:=:188m13=831d=>;50;9~f77c290>6=4?{%30a??d3A8>96F=4c9'e`<63`9o6=44i2g94?=n<;0;66g;5;29?j74=3:17pl=1b83>0<729q/=>k59b9K603<@;>i7)oj:09j7a<722c8i7>5;h61>5<<a=?1<75`12794?=zj;;n6=4::183\7f!74m33h7E<:5:J10g=#il0:7d=k:188m6c=831b8?4?::k71?6=3f;897>5;|`15g<72<0;6=u+12g9=f=O:<?0D?:m;%cf>4=n;m0;66g<e;29?l252900e9;50;9l563=831vn??n:186>5<7s-;8i77l;I061>N5<k1/mh4>;h1g>5<<a:o1<75f4383>>o3=3:17b?<5;29?xd5910;684?:1y'56c=1j1C>8;4H36a?!gb281b?i4?::k0a?6=3`>96=44i5794?=h9:?1<75rb334>5<2290;w)?<e;;f?M42=2B98o5+ad87?l5c2900e>k50;9j00<722c:?94?::m270<722wi><750;794?6|,89n64m4H376?M43j2.ji7?4i2f94?=n;l0;66g;2;29?l222900c<=::188yg47j3:1?7>50z&27`<5==1C>8;4H36a?l5a2900ek<50;9l57c=831vn?>n:180>5<7s-;8i7<:4:J110=O:=h0e>h50;9jb7<722e:>h4?::\7fa656=83>1<7>t$01f>ce<@;?>7E<;b:k0b?6=3`><6=44i014>5<<g88n6=44}c03=?6=;3:1<v*>3d8111=O:<?0D?:m;h1e>5<<ao81<75`13g94?=zj8ln6=4;:183\7f!74m3lh7E<:5:J10g=n;o0;66g;7;29?l74?3:17b?=e;29?xd5810;6>4?:1y'56c=:<>0D?;:;I07f>o4n3:17dh=:188k44b2900qo?ic;290?6=8r.:?h4ic:J110=O:=h0e>h50;9j02<722c:?:4?::m26`<722wi>=950;194?6|,89n6?;;;I061>N5<k1b?k4?::ke6?6=3f;9i7>5;|`2bd<72=0;6=u+12g9bf=O:<?0D?:m;h1e>5<<a==1<75f12594?=h9;o1<75rb325>5<4290;w)?<e;060>N5=<1C>9l4i2d94?=nn;0;66a>2d83>>{e9o21<7:50;2x 45b2oi0D?;:;I07f>o4n3:17d:8:188m4502900c<<j:188yg47=3:1?7>50z&27`<5==1C>8;4H36a?l5a2900ek<50;9l57c=831vn<h9:187>5<7s-;8i7hl;I061>N5<k1b?k4?::k73?6=3`;8;7>5;n31a?6=3th9<94?:283>5}#9:o1>8:4H376?M43j2c8j7>5;hd1>5<<g88n6=44}c3e0?6=<3:1<v*>3d8eg>N5=<1C>9l4i2d94?=n<>0;66g>3683>>i6:l0;66sm21194?5=83:p(<=j:377?M42=2B98o5f3g83>>oa:3:17b?=e;29?xd6n;0;694?:1y'56c=nj1C>8;4H36a?l5a2900e9950;9j561=831d=?k50;9~f76529086=4?{%30a?42<2B9985G25`8m6`=831bj?4?::m26`<722wi=k>50;694?6|,89n6km4H376?M43j2c8j7>5;h64>5<<a89<6=44o00f>5<<uk8887>55;294~"6;l02o6F=549K61d<,ho1=6g<d;29?l5b2900e9<50;9j00<722e:?84?::\7fa665=83?1<7>t$01f><e<@;?>7E<;b:&ba?7<a:n1<75f3d83>>o3:3:17d:::188k4522900qo<<2;291?6=8r.:?h46c:J110=O:=h0(lk51:k0`?6=3`9n6=44i5094?=n<<0;66a>3483>>{e::;1<7;50;2x 45b20i0D?;:;I07f>"fm3;0e>j50;9j7`<722c?>7>5;h66>5<<g89>6=44}c004?6==3:1<v*>3d8:g>N5=<1C>9l4$`g95>o4l3:17d=j:188m14=831b884?::m270<722wi>?h50;794?6|,89n64m4H376?M43j2.ji7?4i2f94?=n;l0;66g;2;29?l222900c<=::188yg45m3:197>50z&27`<>k2B9985G25`8 dc=92c8h7>5;h1f>5<<a=81<75f4483>>i6;<0;66sm23f94?3=83:p(<=j:8a8L7323A8?n6*ne;38m6b=831b?h4?::k76?6=3`>>6=44o016>5<<uk89o7>55;294~"6;l02o6F=549K61d<,ho1=6g<d;29?l5b2900e9<50;9j00<722e:?84?::\7fa67d=83?1<7>t$01f><e<@;?>7E<;b:&ba?7<a:n1<75f3d83>>o3:3:17d:::188k4522900qo<;7;297?6=8r.:?h4i7:J110=O:=h0(lk51d9je7<722cj?7>5;n31a?6=3th9?h4?:283>5}#9:o1j:5G2478L72e3-kn6<k4i`094?=ni:0;66a>2d83>>{e9m=1<7;50;2x 45b2oh0D?;:;I07f>"fm38:7do=:188md5=831bm94?::kb1?6=3f;9i7>5;|`221<72<0;6=u+12g9bg=O:<?0D?:m;%cf>77<ah81<75fa283>>of<3:17do::188k44b2900qo?k6;291?6=8r.:?h4ib:J110=O:=h0(lk5209je7<722cj?7>5;hc7>5<<ah?1<75`13g94?=zj8<86=4::183\7f!74m3li7E<:5:J10g=#il09=6gn2;29?lg42900el:50;9je0<722e:>h4?::\7fa5`3=8391<7>t$01f>c1<@;?>7E<;b:&ba?703`k96=44i`194?=h9;o1<75rb04`>5<4290;w)?<e;d4?M42=2B98o5+ad82a>of:3:17do<:188k44b2900qo?k5;291?6=8r.:?h4ib:J110=O:=h0(lk5209je7<722cj?7>5;hc7>5<<ah?1<75`13g94?=zj8<96=4::183\7f!74m3li7E<:5:J10g=#il09=6gn2;29?lg42900el:50;9je0<722e:>h4?::\7fa5a2=83?1<7>t$01f>cd<@;?>7E<;b:&ba?463`k96=44i`194?=ni=0;66gn5;29?j75m3:17pl>6083>0<729q/=>k5fc9K603<@;>i7)oj:338md4=831bm>4?::kb0?6=3`k>6=44o00f>5<<uk;o?7>55;294~"6;l0mn6F=549K61d<,ho1><5fa383>>of;3:17do;:188md3=831d=?k50;9~f407290>6=4?{%30a?`e3A8>96F=4c9'e`<592cj>7>5;hc0>5<<ah>1<75fa483>>i6:l0;66sm14394?3=83:p(<=j:g`8L7323A8?n6*ne;02?lg52900el=50;9je1<722cj97>5;n31a?6=3th:8k4?:483>5}#9:o1jo5G2478L72e3-kn6??4i`094?=ni:0;66gn4;29?lg22900c<<j:188yg73l3:197>50z&27`<aj2B9985G25`8 dc=:81bm?4?::kb7?6=3`k?6=44i`794?=h9;o1<75rb06a>5<2290;w)?<e;da?M42=2B98o5+ad815>of:3:17do<:188md2=831bm84?::m26`<722wi=9750;794?6|,89n6kl4H376?M43j2.ji7<>;hc1>5<<ah91<75fa583>>of=3:17b?=e;29?xd6>00;684?:1y'56c=nk1C>8;4H36a?!gb28l0el<50;9je6<722cj87>5;hc6>5<<g88n6=44}c3gf?6=<3:1<v*>3d8e=>N5=<1C>9l4$`g967=ni;0;66gn3;29?lg32900c<<j:188yg7cl3:197>50z&27`<aj2B9985G25`8 dc=1h1bm?4?::kb7?6=3`k?6=44i`794?=h9;o1<75rb0:;>5<5290;w)?<e;d5?M42=2B98o5+ad823>of:3:17b?=e;29?xd60?0;6?4?:1y'56c=n?1C>8;4H36a?!gb28=0el<50;9l57c=831vn<6;:181>5<7s-;8i7h9;I061>N5<k1/mh4>7:kb6?6=3f;9i7>5;|`2<7<72;0;6=u+12g9b3=O:<?0D?:m;%cf>41<ah81<75`13g94?=zj82;6=4=:183\7f!74m3l=7E<:5:J10g=#il0:;6gn2;29?j75m3:17pl>7d83>7<729q/=>k5f79K603<@;>i7)oj:058md4=831d=?k50;9~f41d29096=4?{%30a?`13A8>96F=4c9'e`<6?2cj>7>5;n31a?6=3th:;l4?:383>5}#9:o1j;5G2478L72e3-kn6<94i`094?=h9;o1<75rb05;>5<5290;w)?<e;d5?M42=2B98o5+ad823>of:3:17b?=e;29?xd5880;6?4?:1y'56c=n?1C>8;4H36a?!gb28=0el<50;9l57c=831vn<hi:181>5<7s-;8i7h9;I061>N5<k1/mh4>7:kb6?6=3f;9i7>5;|`2ba<72;0;6=u+12g9b3=O:<?0D?:m;%cf>41<ah81<75`13g94?=zj8li6=4=:183\7f!74m3l=7E<:5:J10g=#il0:;6gn2;29?j75m3:17pl>f883>7<729q/=>k5f79K603<@;>i7)oj:058md4=831d=?k50;9~f4`029096=4?{%30a?`13A8>96F=4c9'e`<6?2cj>7>5;n31a?6=3th:j84?:383>5}#9:o1j;5G2478L72e3-kn6<94i`094?=h9;o1<75rb0d0>5<5290;w)?<e;d5?M42=2B98o5+ad823>of:3:17b?=e;29?xd6n80;6?4?:1y'56c=n?1C>8;4H36a?!gb28=0el<50;9l57c=831vn<7<:181>5<7s-;8i7h9;I061>N5<k1/mh4>7:kb6?6=3f;9i7>5;|`14f<72;0;6=u+12g9b3=O:<?0D?:m;%cf>41<ah81<75`13g94?=zj8nh6=4::183\7f!74m3li7E<:5:J10g=#il0:46gn2;29?lg42900el:50;9je0<722e:>h4?::\7fa661=83>1<7>t$01f>c?<@;?>7E<;b:&ba?5f3`k96=44i`194?=ni=0;66a>2d83>>{e::?1<78;:183\7f!74m3;8:6F=549K61d<R:i1mv857;32>44=900:m7?<:0`951<6k3w/i>4<;%g7>6=#9:k1>6*k7;18 a>=;2.j57=4$`c97>"fj390(ij53:&ga?5<,ml1?6*j0;18 `7=;2.n>7=4$`497>"f?390(l653:&a0?5<,k?1?6*m6;18 g1=;2.i47=4$c;97>"d8390(n?53:&`6?5<,m81?6*k3;18 a2=;2.99<4=519'604=:<:0(nm53:&``?5<,jo1?6*ma;18 gd=;2.io7=4$b497>"d?390(n653:&a5?5<,k81?6*m3;18 a3=;2.o:7=4$d797>"b>390(h953:&`=?5<,jk1?6*lb;18 f5=;2.h87=4$b797>"dn390(i>53:&g5?5<,l21?6*j9;18 `g=;2.:?54>2e9'ac<53-l;6?5+dc80?!bd2:1/h44<;%fb>6=#jm087)lj:29'fc<43-oi6>5+eb80?!d72:1/mn4<;%gg>6=#ml087)?<b;08 d`=;2.jh7=4i5294?=n<80;66g68;29?l?>2900e<=l:188m45c2900e?:j:188m72a2900e?j50;&276<5k2d:??4?;:k1f?6=,8986?m4n011>4=<a;k1<7*>3281g>h6;;0976g=9;29 4542;i0b<==:298m7>=83.:?>4=c:l277<332c9;7>5$010>7e<f8996854i3494?"6;:09o6`>3385?>o5=3:1(<=<:3a8j4552>10e?:50;&276<5k2d:??47;:k17?6=,8986?m4n011><=<a:21<7*>32803>h6;;0;76g<6;29 4542:=0b<==:098m63=83.:?>4<7:l277<532c887>5$010>61<f8996>54i2194?"6;:08;6`>3387?>o4:3:1(<=<:258j4552<10e>?50;&276<4?2d:??49;:k04?6=,8986>94n011>2=<a;l1<7*>32803>h6;;0376g=e;29 4542:=0b<==:898m1`=83.:?>4;e:l277<732c?h7>5$010>1c<f8996<54i5a94?"6;:0?i6`>3381?>o3j3:1(<=<:5g8j4552:10e9o50;&276<3m2d:??4;;:k7=?6=,89869k4n011>0=<a<21<7*>3287a>h6;;0=76g:7;29 4542=o0b<==:698m00=83.:?>4;e:l277<?32c>97>5$010>1c<f8996454i4694?"6;:0?i6`>338b?>o2;3:1(<=<:5g8j4552k10e8<50;&276<3m2d:??4l;:k65?6=,89869k4n011>a=<a<:1<7*>3287a>h6;;0n76g;8;29 4542=o0b<==:g98m36=83.:?>4:f:l277<732c>i7>5$010>0`<f8996<54i4f94?"6;:0>j6`>3381?>o2k3:1(<=<:4d8j4552:10e8l50;&276<2n2d:??4;;:k6e?6=,89868h4n011>0=<a?31<7*>3286b>h6;;0=76g98;29 4542<l0b<==:698m31=83.:?>4:f:l277<?32c=:7>5$010>0`<f8996454i7794?"6;:0>j6`>338b?>o1<3:1(<=<:4d8j4552k10e;=50;&276<2n2d:??4l;:k56?6=,89868h4n011>a=<a?;1<7*>3286b>h6;;0n76g:9;29 4542<l0b<==:g98m3e=83.:?>49b:l277<732c=m7>5$010>3d<f8996<54i7d94?"6;:0=i6`>3383?>o1l3:1(<=<:7g8j4552810c:950;&276<0>2d:??4?;:m41?6=,8986:84n011>4=<g>>1<7*>32842>h6;;0976a83;29 4542><0b<==:298k24=83.:?>486:l277<332e<=7>5$010>20<f8996854o9294?"6;:0<:6`>3385?>i0n3:1(<=<:648j4552>10c:k50;&276<0>2d:??47;:m4`?6=,8986:84n011><=<g>i1<7*>32842>h6;;0j76a8b;29 4542><0b<==:c98k2g=83.:?>486:l277<d32e<57>5$010>20<f8996i54o6:94?"6;:0<:6`>338f?>i083:1(<=<:648j4552o10c4:50;&276<>;2d:??4?;:m:6?6=,89864=4n011>4=<g121<7*>328;3>h6;;0;76a76;29 45421=0b<==:098k=3=83.:?>477:l277<532e387>5$010>=1<f8996>54o9194?"6;:03;6`>3387?>i?:3:1(<=<:958j4552<10c4?50;&276<??2d:??49;:m:4?6=,8986594n011>2=<g1l1<7*>328;3>h6;;0376a7e;29 45421=0b<==:898k=b=83.:?>477:l277<f32e3o7>5$010>=1<f8996o54o9`94?"6;:03;6`>338`?>i?i3:1(<=<:958j4552m10c5750;&276<??2d:??4j;:m;5?6=,8986594n011>c=<g0=1<7*>328:2>h6;;0;76a65;29 45420<0b<==:098yg71i3:1?7>50z&27`<a02B9985G25`8 dc=:91bm?4?::kb7?6=3fl86=44}c3ga?6=<3:1<v*>3d8ee>N5=<1C>9l4$`g903=ni;0;66gn3;29?lg32900ck=50;9~w70529085vP=639>66e=;m16>9;53e9>66d=;m16>9=53e9>612=;m16>>o53e9>614=;m16>9?53e9>61?=;m16=;953e9>53d=;m16=h=53e9>5`2=;m16=h<53e9>5d1=;m16=l853e9>5d2=;m16=l=53e9>5d3=;m16=l<53e9>5d7=;m16=4h53e9>5<c=;m16=l>53e9>5gd=;m16=oo53e9>5g?=;m16=o653e9>5g1=;m16=o853e9>5g3=;m16=o:53e9>5g5=;m16=o<53e9>676=;m16><h53e9>64b=;m16><m53e9>64c=;m16><l53e9>64g=;m16><653e9>641=;m16><753e9>662=;m16>>=53e9>664=;m16>>?53e9>666=;m16>?h53e9>67c=;m16>?j53e9>67e=;m16>?l53e9>663=<916>>;5409~w676290=wS=>1:?22f<f;27:hn4n5:?172<f<27::l4n2:?2``<f:2wx?=h50;4:\7f[41m2T99k5Q30;8Z6703W8>i6P<159]6g`<V:;37S<l0:\1a7=Y:?i0R?8m;_05e>X5>01U>;64^344?[41>2T9:85Q2768Z7043W9986P<229]774<V:8:7S==0:\05c=Y;8o0R>?k;_12g>X49k1U?=k4^22g?[57k2T8<o5Q31c8Z66>3W9;46P<069]750<V::>70<:6;c3?843;3>>70<<a;66?843:3>>70<;1;66?84313>>70?j4;66?873?3><70?;6;64?873=3><70?;4;64?873;3><70?:a;64?87213><70?:8;64?872?3><70?:6;64?87d<3><70?l3;64?87d:3><70?l1;64?87d83><70?ld;64?87dk3><70?lb;64?87di3><70?l9;64?87??3><70?75;64?87?;3><70?71;64?870n3><70?8d;64?870j3><70?89;64?870?3><70<?0;64?87am3><70?ic;64?87ai3><70?i8;64?87a>3><70?i4;64?87a:3><70?i0;64?844=3;8o63=34810c=:::?1:=5222791`=:::?19i5222791f=:::?19o5222791d=:::?1:45222792==:::?1::52227923=:::?1:852227921=:::?1:>52227927=:::?1:<5222791<=:::?1:k5222792a=z{:;86=4<{_127>;5<:0:?95222c9562<uz8im7>52z\1fd=:9?h1=>;4}r112?6=;rT8>;521d79e7=:9mi1m95rs3``>5<5sW8io63>e28270=z{;km6=4={_0:`>;5;<02;6s|2`f94?4|V;3h70<<5;:;?xu5ij0;6?uQ28`8975221<0q~<nb;296~X51h16>>;5849~w7gf2909wS<69:?170<?<2wx>l750;0xZ7??3488976<;|q1e=<72;qU>494=316>=4<uz8j;7>52z\1=3=:::?15<5rs3`;>5<5sW8j963=348:4>{t:k=1<7<t^3c7?844=33>7p}=b783>7}Y:h901?=::9d8yv4e=3:1>vP=a39>663=0l1v\7f?l;:181\7f[4f9279?847d:\7fp6g5=838pR?o?;<001?>d3ty9n?4?:3y]6<`<5;9>65l4}r0a5?6=:rT95h522279<d=z{;h;6=4={_0:1>;5;<0356s|2`494?4|V;3?70<<5;:2?xu50h0;6?uQ26:897522?i0q~<78;296~X5?>16>>;54g9~w7>02909wS<86:?170<3l2wx>5850;0xZ712348897:l;|q1<0<72;qU>::4=316>1d<uz8387>52z\136=:::?18l5rs3:0>5<5sW8<>63=3487=>{t:181<7<t^352?844=3?37p}=9283>7}Y:1:01?=::458yv4>:3:1>vP=7g9>663=>h1v\7f?7>:181\7f[40m279?84:6:\7fp6<6=838pR?9k;<001?323ty94k4?:3y]62e<5;9>68:4}r0;a?6=:rT9;o52227916=z{;2o6=4={_04e>;5;<0>>6s|29a94?4|V;=270<<5;72?xu50k0;6?uQ262897522<:0q~<71;296~X5>o16>>;5499~wc`=83kp1?;8:013?873;39m70?:6;1e?87d839m70?l9;1e?87?139m70?87;1e?847:39m70?i0;1e?844=3337p}=3c83>6}:::i1885222`9563<5;9j6>k4}r00g?6=:r79?n4>349>66c=i;1v\7f?:;:180\7f843=3>>70<;3;1f?843<3;896s|25794?4|5;>>6<=:;<073?g53ty9?l4?:2y>66d=<<16>>o51278975b2h90q~<;3;297~;5<:0:?852256900=::==1m>5rs31g>5<4s48?>7?<4:?104<6;=16>>k513g8yv4383:1=:u22509563<58<<6<=;;<35f?74<27:m:4;2:?2e3<3:27:m94;2:?2e6<3:27:m84;2:?2e7<3:27:m<4;2:?2=c<3:27:5h4>359>5d6=<;16=ol5439>5gg=<;16=o75439>5g>=<;16=o95439>5g0=<;16=o;5439>5g2=<;16=o=5439>5g4=<;1v\7f?=i:180\7f84393;8963=368b6>;5;<0:?i5rs365>5<5s48?57?<4:?102<6:l1v\7f?:7:182=~;5<00:?8521d19562<58o?6<=;;<3f6?74<279>=4;2:?15c<3:279=i4;2:?15f<3:279=h4;2:?15g<3:279=l4;2:?15=<3:279=:4>359>64?=<;16>>:5439>665=<;16>><5439>667=<;16>>>5439>67`=<;16>?k5439>67b=<;16>?m5439>67d=<;16=il5a59~w40?2908w0?97;66?871j3>>70?99;31a>{t9?=1<78t=044>45234;=o7o=;<35=?g434;oo7o=;<003?g434;oi7o<;|q2`d<72:q6=h=5449>5`4=<<16=ij513g8yv7b<3:1>v3>e58270=:9mh1m>5rs0g1>5<3s4;n>7?<5:?2a0<f;27:ho4n2:?2`f<f;2wx=9<50;0x94202:l01<:9:00f?xu6=90;6>u21559561<58i?6<=8;<365?75m2wx=;;50;0x9420288n70?99;c6?xu6<80;6?u215497c=:9=?1=?k4}r37a?6=;r7:8;4>369>5f5=9:=01<:i:00f?xu6<90;6?u215797c=:9=>1=?k4}r37g?6=;r7:884>369>5f4=9:=01<:k:00f?xu6;o0;6?u215697c=:9=91=?k4}r37e?6=;r7:894>369>5f7=9:=01<:m:00f?xu6<10;6>u21519561<58i;6<=8;<37=?75m2wx=8;50;0x943f2:l01<;6:00f?xu6=o0;6?u214c9561<58<?6<<j;|q223<72;q6=8o513g8940f2h90q~?:4;296~;6=008j63>59826`=z{8?n6=4={<36=?74?27::>4>2d9~w4342909w0?:8;1e?872?3;9i6s|14f94?4|58?36<=8;<356?75m2wx=8<50;0x94302:l01<;9:00f?xu6=j0;6?u21459561<58<:6<<j;|q21g<72;q6=88512589407288n7p}>bg83>7}:9j>1?k521b1957c<uz;o47>52z?2g1<6:l16=ik5a59~w4db2909w0?l3;1e?87d:3;9i6s|1cf94?4|58i96>h4=0a2>44b3ty:nn4?:3y>5f7=;o16=n>513g8yv7d03:1>v3>ce80b>;6kj0:>h5rs0f1>5<5s4;hh7?<7:?2`2<6:l1v\7f<j6:181\7f87dl3;9i63>de8b6>{t9j=1<7<t=0a`>6`<58ii6<<j;|q2`4<72;q6=nm5125894b1288n7p}>c783>7}:9jh1?k521bc957c<uz;o<7>52z?2gg<6;>16=i;513g8yv7d=3:1>v3>c`80b>;6k00:>h5rs0ae>5<5s4;hm7?<7:?2`1<6:l1v\7f<mj:181\7f87d13;8;63>d2826`=z{;936=4>5z?2e2<4m27:m;4<e:?2e1<4m27:m>4<e:?2e0<4m27:m?4<e:?2e4<4m27:5k4<e:?2=`<4m27:m=4<e:?2fg<4m27:nl4<e:?2f<<4m27:n54<e:?2f2<4m27:n;4<e:?2f0<4m27:n94<e:?2f6<4m27:n?4<e:?22f<6:l1v\7f<99:181\7f87f?3>>70?62;31a>{t90n1<7:t=0c4>45234;in7::;<350?g534;2?7o=;|q230<72;q6=l85449>5<7=9;o0q~?6c;290~;6i?0:?8521cc900=:9?>1m95219:9e7=z{8=86=4={<3b0?2234;3j7?=e:\7fp5<g=83>p1<o;:016?87e03>>70?93;c7?87?<3k97p}>7383>7}:9h91885219g957c<uz;257>54z?2e6<6;<16=o95449>534=i;16=5<5a39~w4132909w0?n5;66?87>83;9i6s|18`94?2|58k>6<=:;<3a=?2234;=?7o=;<3;2?g53ty:;<4?:3y>5d4=<<16=5j513g8yv7>03:18v3>a38270=:9k<188521709e1=:91:1m?5rs053>5<5s4;j=7::;<3;g?75m2wx=4950;6x94g6289>70?m5;66?87193k970?8e;c1?xu6>l0;6?u218d900=:91k1=?k4}r3:1?6=<r7:5k4>349>5g5=<<16=;>5a39>52g=i;1v\7f<8k:181\7f87>m3>>70?79;31a>{t90>1<7:t=0;f>45234;i>7::;<354?g334;<47o=;|q22c<72;q6=l>5449>5=d=9;o0q~?66;290~;6i90:?8521c6900=:9?;1m95216a9e7=z{82<6=4={<3:6?5a34;3;7?=e:\7fp5<5=838p1<7=:g0894?4288n7p}>8483>6}:90;1?k5219597c=:91?1=?k4}r3;<?6=;r7:5<4i2:?2<2<6;>16=56513g8yv7?;3:1?v3>9180b>;60<08j63>82826`=z{82=6=4<{<3:4?`534;397?<7:?2<3<6:l1v\7f<6>:180\7f87?n39m70?73;1e?87?93;9i6s|19694?5|582m6k<4=0:0>45034;387?=e:\7fp52`=839p1<6j:2d894>62:l01<9i:00f?xu60;0;6>u219g9b7=:91;1=>94=0:1>44b3ty:;i4?:2y>5=b=;o16=:h53g9>52b=9;o0q~?70;297~;60m0m>63>7g8272=:91:1=?k4}r34f?6=;r7:4n4<f:?23a<4n27:;o4>2d9~w41b2908w0?7c;d1?870l3;8;63>7d826`=z{8=26=4<{<3;f?5a34;<n7=i;<34=?75m2wx=:m50;1x94>e2o801<9m:014?870k3;9i6s|16594?5|582j6>h4=05:>6`<58=<6<<j;|q23d<72:q6=5o5f39>52?=9:=01<9n:00f?xu6?10;6>u219;9b7=:9>=1=>94=05;>44b3ty:n<4?:5y>5gd=9:?01<j8:`1894362h801?=::2:8yv7e83:18v3>b`8270=:9m=1m8521439e1=:::?1?;5rs0ce>5<3s4;i57?<5:?2`3<f;27:8k4n2:?170<4=2wx=lk50;6x94d?289>70?k6;c6?873n3k?70<<5;17?xu6im0;69u21c59563<58n>6l=4=06g>d4<5;9>6>=4}r3bg?6=<r7:n;4>349>5a3=i<16=9j5a59>663=;;1v\7f<om:187\7f87e=3;8963>d58b7>;6<k0j>63=34805>{t9hk1<7:t=0`7>45234;o87o:;<37f?g3348897=?;|q2e<<72=q6=o=5127894b42h901<:6:`0897522;l0q~?n8;290~;6j;0:?8521e19e0=:9=31m95222796`=z{;926=4>7z?165<4m279=k4<e:?15a<4m279=n4<e:?15`<4m279=o4<e:?15d<4m279=54<e:?152<4m279=44<e:?171<4m279?>4<e:?177<4m279?<4<e:?175<4m279>k4<e:?16`<4m279>i4<e:?16f<4m279>o4<e:?2a0<6:l16=;75a39>663=:=o0q~?jf;296~;5:90?963=0c826`=z{;;=6=4;{<014?74=279?94;5:?2`2<f:279<n4n2:\7fp5`c=838p1??i:578976f288n7p}=1483>1}::8l1=>;4=310>13<58n<6l:4=322>d4<uz;no7>52z?15a<3=279<54>2d9~w774290?w0<>d;301>;5;80?963>d78b0>;6nm0j>6s|1d`94?4|5;;h69;4=324>44b3ty9=?4?:5y>64e=9:?01?=?:57894b22h801<hm:`08yv7bl3:1>v3=1d871>;5800:>h5rs337>5<3s48:i7?<5:?177<3=27:h;4n2:?2bc<f:2wx=ho50;0x977e2=?01?>9:00f?xu5980;69u220`9563<5;8m69;4=0f6>d2<58l26l<4}r3f=?6=:r79=l4;5:?140<6:l1v\7f???:187\7f846i3;8963=2d871>;6l=0j>63>f68b6>{t9l=1<7<t=33;>13<5;:86<<j;|q14`<72=q6><651278974d2=?01<j<:`0894`42h80q~?j6;296~;59>0?963=03826`=z{;:o6=4;{<023?74=279>o4;5:?2`6<f<27:j<4n2:\7fp5`>=838p1??6:5789763288n7p}=0g83>1}::831=>;4=30g>13<58n?6l:4=0d6>d4<uz8;<7>52z?14g<4n279<=4>2d9~w76d2909w0<?b;d1?847k3;9i6s|1gg94?5|5;:j6>h4=323>6`<58ln6<<j;|q144<72:q6>=o5f39>656=9:=01?>>:00f?xu6nj0;6>u221;97c=:9oo1?k521ga957c<uz;mj7>53z?14<<a:27:jh4>369>5c`=9;o0q~?ia;297~;58108j63>fb80b>;6nh0:>h5rs0dg>5<4s48;47h=;<3eg?74?27:ji4>2d9~w4`?2908w0<?7;1e?87ai39m70?i8;31a>{t9oh1<7=t=324>c4<58lj6<=8;<3ef?75m2wx=k850;1x97612:l01<h7:2d894`1288n7p}>f883>6}::9<1j?521g:9561<58l26<<j;|q2b1<72:q6>=;53g9>5c0=;o16=k:513g8yv7a?3:1?v3=048e6>;6n?0:?:521g5957c<uz;m>7>53z?141<4n27:j94<f:?2b7<6:l1v\7f<h::180\7f847<3l970?i4;303>;6n<0:>h5rs0d3>5<4s48;?7=i;<3e6?5a34;m<7?=e:\7fp5c5=839p1?><:g0894`5289<70?i3;31a>{t9o;1<7=t=321>c4<58l;6<=8;<3e5?75m2wx>?o50;6x9753289>70?94;c0?87293k870<<5;0g?xu5:00;69u22219563<58<?6l;4=072>d3<5;9>6?l4}r01<?6=<r79??4>349>535=i:16=9h5a29>663=:h1v\7f?<8:187\7f84493;8963>628b1>;6<o0j963=3481=>{t:;<1<7:t=313>45234;=>7o<;<37`?g4348897<7;|q160<72=q6>?h5127894052h?01<:k:`7897522;=0q~<=4;290~;5:l0:?8521739e6=:9=h1m>52227963=z{;886=4;{<01`?74=27::<4n5:?20g<f=279?84=5:\7fp674=83>p1?<l:016?87183k870?;9;c0?844=38?7p}=2083>1}::;h1=>;4=043>d3<58>26l;4=316>75<uz;9?7>52z?22<<f<27::l4i3:\7fp5`7=838p1<jm:00f?87cl3k>7p}>dg83>7}:9mn1m>521ea957c<uz;n<7>52z?2`a<f<27:hh4i3:\7fp660=838p1?=8:00f?844=3327ps|27094?4|V;<970=m:341?!43i3;:i6s|29c94?4|V;=370=m:35;?!43i3;986s|29:94?4|V;=<70=m:354?!43i3;996s|29594?4|V;==70=m:355?!43i3;9:6s|29494?4|V;=>70=m:356?!43i3;956s|29794?4|V;=?70=m:357?!43i3;;<6s|29694?4|V;=870=m:350?!43i3;;;6s|29194?4|V;=970=m:351?!43i3;:>6s|29094?4|V;=:70=m:352?!43i3;:;6s|28194?4|V;2;70=m:3:3?!43i3;:46s|28094?4|V;=m70=m:35e?!43i3;:56s|28394?4|V;=n70=m:35f?!43i3;:m6s|28294?4|V;=o70=m:35g?!43i3;:n6s|29d94?4|V;=h70=m:35`?!43i3;:o6s|29g94?4|V;=i70=m:35a?!43i3;:h6s|29f94?4|V;=j70=m:35b?!43i3;:j6s|29a94?4|V;=270=m:35:?!43i3;9<6s|29`94?4|V;=;70=m:353?!43i3;9=6s|29394?4|V;<m70=m:34e?!43i3;9>6s|30394?4|V:;:70=m:232?!43i3;9;6s|30194?4|V:;870=m:230?!43i3;946s|33494?4|V:8=70=m:205?!43i3;9m6s|2`d94?4|V;3o70=m:3;g?!43i3;;=6s|2`f94?4|V;3h70=m:3;`?!43i3;;>6s|2`a94?4|V;3i70=m:3;a?!43i3;;?6s|2``94?4|V;3j70=m:3;b?!43i3;;86s|2`c94?4|V;3270=m:3;:?!43i3;;96s|2`;94?4|V;3370=m:3;;?!43i3;;:6s|2`:94?4|V;3<70=m:3;4?!43i3;;46s|2`594?4|V;3=70=m:3;5?!43i3;;56s|2c:94?4|V;k>70=m:3c6?!43i3;;m6s|2c594?4|V;k?70=m:3c7?!43i3;;n6s|2c494?4|V;k870=m:3c0?!43i3;;o6s|2c794?4|V;k970=m:3c1?!43i3;;h6s|2c694?4|V;k:70=m:3c2?!43i3;;i6s|2c194?4|V;k;70=m:3c3?!43i3;;j6s|2c094?4|V;3m70=m:3;e?!43i3;:<6s|2c394?4|V;3n70=m:3;f?!43i3;:=6s|2c294?4|V;3>70=m:3;6?!43i3;:?6s|2`494?4|V;3?70=m:3;7?!43i3;:86s|2cc94?4|V;hj70=m:3`b?!43i3;:96s|2ca94?4|V;hh70=m:3``?!43i3;::6srn42f>5<5sA8?n6sa51d94?4|@;>i7p`:1183>7}O:=h0qc;>1;296~N5<k1vb8?=:181\7fM43j2we9<=50;0xL72e3td>=94?:3yK61d<ug?:97>52zJ10g=zf<;=6=4={I07f>{i=8=1<7<tH36a?xh2910;6?uG25`8yk3613:1>vF=4c9~j07f2909wE<;b:\7fm14d=838pD?:m;|l65f<72;qC>9l4}o72`?6=:rB98o5rn43f>5<5sA8?n6sa50d94?4|@;>i7p`:2183>7}O:=h0qc;=1;296~N5<k1vb8<=:181\7fM43j2we9?=50;0xL72e3td>>94?:3yK61d<ug?997>52zJ10g=zf<8=6=4={I07f>{i=;=1<7<tH36a?xh2:10;6?uG25`8yk3513:1>vF=4c9~j04f2909wE<;b:\7fm17d=838pD?:m;|l66f<72;qC>9l4}o71`?6=:rB98o5rn40f>5<5sA8?n6sa53d94?4|@;>i7p`:3183>7}O:=h0qc;<1;296~N5<k1vb8==:181\7fM43j2we9>=50;0xL72e3td>?94?:3yK61d<ug?897>52zJ10g=zf<9=6=4={I07f>{i=:=1<7<tH36a?xh3<10;6<uG25`8yk2093:1=vF=4c9~j115290:wE<;b:\7fm025=83;pD?:m;|l731<728qC>9l4}o641?6=9rB98o5rn555>5<6sA8?n6sa46594?7|@;>i7p`;7983>4}O:=h0qc:89;295~N5<k1vb99n:182\7fM43j2we8:l50;3xL72e3td?;n4?:0yK61d<ug><h7>51zJ10g=zf==n6=4>{I07f>{i<>l1<7?tH36a?xh3090;6<uG25`8yk2?93:1=vF=4c9~j1>5290:wE<;b:\7fm0=5=83;pD?:m;|l7<1<728qC>9l4}o6;1?6=9rB98o5rn5:5>5<6sA8?n6sa49594?7|@;>i7p`;8983>4}O:=h0qc:79;295~N5<k1vb96n:182\7fM43j2we85l50;3xL72e3td?4n4?:0yK61d<ug>3h7>51zJ10g=zf=2n6=4>{I07f>{i<1l1<7?tH36a?xh3190;6<uG25`8yk2>93:1=vF=4c9~j1?5290:wE<;b:\7fm0<5=83;pD?:m;|l7=1<728qC>9l4}o6:1?6=9rB98o5rn5;5>5<6sA8?n6sa48594?7|@;>i7p`;9983>4}O:=h0qc:69;295~N5<k1vb97n:182\7fM43j2we84l50;3xL72e3td?5n4?:0yK61d<ug>2h7>51zJ10g=zf=3n6=4>{I07f>{i<0l1<7?tH36a?xh3i90;6<uG25`8yk2f93:1=vF=4c9~j1g5290:wE<;b:\7fm0d5=83;pD?:m;|l7e1<728qC>9l4}o6b1?6=9rB98o5rn5c5>5<6sA8?n6sa4`594?7|@;>i7p`;a983>4}O:=h0qc:n9;295~N5<k1vb9on:182\7fM43j2we8ll50;3xL72e3td?mn4?:0yK61d<ug>jh7>51zJ10g=zf=kn6=4>{I07f>{i<hl1<7?tH36a?xh3j90;6<uG25`8yk2e93:1=vF=4c9~j1d5290:wE<;b:\7fm0g5=83;pD?:m;|l7f1<728qC>9l4}o6a1?6=9rB98o5rn5`5>5<6sA8?n6sa4c594?7|@;>i7p`;b983>4}O:=h0qc:m9;295~N5<k1vb9ln:182\7fM43j2we8ol50;3xL72e3td?nn4?:0yK61d<ug>ih7>51zJ10g=zf=hn6=4>{I07f>{i<kl1<7?tH36a?xh3k90;6<uG25`8yk2d93:1=vF=4c9~j1e5290:wE<;b:\7fm0f5=83;pD?:m;|l7g1<728qC>9l4}o6`1?6=9rB98o5rn5a5>5<6sA8?n6sa4b594?7|@;>i7p`;c983>4}O:=h0qc:l9;295~N5<k1vb9mn:182\7fM43j2we8nl50;3xL72e3td?on4?:0yK61d<ug>hh7>51zJ10g=zf=in6=4>{I07f>{i<jl1<7?tH36a?xh3l90;6<uG25`8yk2c93:1=vF=4c9~j1b5290:wE<;b:\7fm0a5=83;pD?:m;|l7`1<728qC>9l4}o6g1?6=9rB98o5rn5f5>5<6sA8?n6sa4e594?7|@;>i7p`;d983>4}O:=h0qc:k9;295~N5<k1vb9jn:182\7fM43j2we8il50;3xL72e3td?hn4?:0yK61d<ug>oh7>51zJ10g=zf=nn6=4>{I07f>{i<ml1<7?tH36a?xh3m90;6<uG25`8yk2b93:1=vF=4c9~j1c5290:wE<;b:\7fm0`5=83;pD?:m;|l7a1<728qC>9l4}o6f1?6=9rB98o5rn5g5>5<6sA8?n6sa4d594?7|@;>i7p`;e983>4}O:=h0qc:j9;295~N5<k1vb9kn:182\7fM43j2we8hl50;3xL72e3td?in4?:0yK61d<ug>nh7>51zJ10g=zf=on6=4>{I07f>{i<ll1<7?tH36a?xh3n90;6<uG25`8yk2a93:1=vF=4c9~j1`5290:wE<;b:\7fm0c5=83;pD?:m;|l7b1<728qC>9l4}o6e1?6=9rB98o5rn5d5>5<6sA8?n6sa4g594?7|@;>i7p`;f983>4}O:=h0qc:i9;295~N5<k1vb9hn:182\7fM43j2we8kl50;3xL72e3td?jn4?:0yK61d<ug>mh7>51zJ10g=zf=ln6=4>{I07f>{i<ol1<7?tH36a?xh2890;6<uG25`8yk3793:1=vF=4c9~j065290:wE<;b:\7fm155=83;pD?:m;|l641<728qC>9l4}o731?6=9rB98o5rn425>5<6sA8?n6sa51594?7|@;>i7p`:0983>4}O:=h0qc;?9;295~N5<k1vb8>n:182\7fM43j2we9=l50;3xL72e3td><n4?:0yK61d<ug?;h7>51zJ10g=zutwKLNu9018`ff`a<l=vLMLt0|BCT~{GH
\ No newline at end of file
-##############################################################
-#
-# Xilinx Core Generator version J.40
-# Date: Mon Feb 25 12:15:10 2008
-#
-##############################################################
-#
-# This file contains the customisation parameters for a
-# Xilinx CORE Generator IP GUI. It is strongly recommended
-# that you do not manually alter this file as it may cause
-# unexpected and unsupported behavior.
-#
-##############################################################
-#
# BEGIN Project Options
-SET addpads = False
+SET flowvendor = Foundation_iSE
+SET vhdlsim = True
+SET verilogsim = True
+SET workingdirectory = /d/jspc22/trb/ot_trb/ise8
+SET speedgrade = -5
+SET simulationfiles = Behavioral
SET asysymbol = True
+SET addpads = False
+SET device = xc2v250
+SET implementationfiletype = Edif
SET busformat = BusFormatAngleBracketNotRipped
+SET foundationsym = False
+SET package = fg456
SET createndf = False
SET designentry = VHDL
-SET device = xc2v2000
SET devicefamily = virtex2
-SET flowvendor = Foundation_iSE
SET formalverification = False
-SET foundationsym = False
-SET implementationfiletype = Ngc
-SET package = fg676
SET removerpms = False
-SET simulationfiles = Behavioral
-SET speedgrade = -6
-SET verilogsim = True
-SET vhdlsim = True
# END Project Options
# BEGIN Select
-SELECT Fifo_Generator family Xilinx,_Inc. 4.2
+SELECT Fifo_Generator family Xilinx,_Inc. 2.1
# END Select
# BEGIN Parameters
CSET almost_empty_flag=false
-CSET almost_full_flag=false
-CSET component_name=xilinx_fifo_dualport_18x1k
-CSET data_count=false
-CSET data_count_width=10
-CSET dout_reset_value=0
-CSET empty_threshold_assert_value=19
-CSET empty_threshold_negate_value=20
-CSET enable_ecc=false
-CSET enable_int_clk=false
-CSET fifo_implementation=Independent_Clocks_Builtin_FIFO
-CSET full_flags_reset_value=0
-CSET full_threshold_assert_value=1035
-CSET full_threshold_negate_value=1034
-CSET input_data_width=18
-CSET input_depth=1024
+CSET write_data_count=false
+CSET full_threshold_negate_value=768
+CSET empty_threshold_negate_value=256
CSET output_data_width=18
-CSET output_depth=1024
-CSET overflow_flag=false
-CSET overflow_sense=Active_High
-CSET performance_options=Standard_FIFO
+CSET input_depth=1024
+CSET valid_flag=true
+CSET empty_threshold_negate_presets=3/4_Empty
+CSET write_acknowledge_flag=false
CSET programmable_empty_type=Single_Programmable_Empty_Threshold_Constant
-CSET programmable_full_type=No_Programmable_Full_Threshold
-CSET read_clock_frequency=100
-CSET read_data_count=false
-CSET read_data_count_width=10
-CSET reset_pin=true
-CSET reset_type=Asynchronous_Reset
+CSET full_threshold_negate_presets=3/4_Full
+CSET fifo_implementation=Independent_Clocks_Block_RAM
CSET underflow_flag=true
-CSET underflow_sense=Active_High
-CSET use_dout_reset=false
-CSET use_embedded_registers=false
CSET use_extra_logic=false
-CSET valid_flag=true
+CSET register_outputs=false
CSET valid_sense=Active_High
-CSET write_acknowledge_flag=false
+CSET write_data_count_width=2
+CSET data_count_width=2
+CSET output_depth=1024
+CSET dout_reset_value=0
+CSET underflow_sense=Active_High
+CSET component_name=xilinx_fifo_dualport_18x1k
+CSET overflow_sense=Active_High
+CSET overflow_flag=false
+CSET read_data_count=false
+CSET data_count=false
+CSET primitive_depth=1024
+CSET programmable_full_type=No_Programmable_Full_Threshold
+CSET read_data_count_width=2
+CSET read_latency=1
+CSET empty_threshold_assert_presets=3/4_Empty
+CSET full_threshold_assert_value=768
+CSET almost_full_flag=false
+CSET full_threshold_assert_presets=3/4_Full
CSET write_acknowledge_sense=Active_High
-CSET write_clock_frequency=100
-CSET write_data_count=false
-CSET write_data_count_width=10
+CSET empty_threshold_assert_value=10
+CSET input_data_width=18
# END Parameters
GENERATE
-# CRC: 2075a8c9