]> jspc29.x-matter.uni-frankfurt.de Git - dirich.git/commitdiff
Calibration Files; First Try -AW
authora.weber <a.weber@gsi.de>
Mon, 13 Aug 2018 14:08:14 +0000 (16:08 +0200)
committera.weber <a.weber@gsi.de>
Mon, 13 Aug 2018 14:08:14 +0000 (16:08 +0200)
21 files changed:
combiner_calib/code/Cal_Limits.vhd [new file with mode: 0644]
combiner_calib/code/Cal_Limits_v2.vhd [new file with mode: 0644]
combiner_calib/code/Calc_output.vhd [new file with mode: 0644]
combiner_calib/code/Calibration.vhd [new file with mode: 0644]
combiner_calib/code/Delta.vhd [new file with mode: 0644]
combiner_calib/code/LUT.vhd [new file with mode: 0644]
combiner_calib/code/Memory.vhd [new file with mode: 0644]
combiner_calib/code/Memory_curr.vhd [new file with mode: 0644]
combiner_calib/code/cnt_val.vhd [new file with mode: 0644]
combiner_calib/code/compare_old.vhd [new file with mode: 0644]
combiner_calib/code/default_val.vhd [new file with mode: 0644]
combiner_calib/code/file_output.vhd [new file with mode: 0644]
combiner_calib/code/input_env.vhd [new file with mode: 0644]
combiner_calib/code/read_Memory.vhd [new file with mode: 0644]
combiner_calib/code/read_cnt.vhd [new file with mode: 0644]
combiner_calib/code/sim_tb.vhd [new file with mode: 0644]
combiner_calib/code/transcript [new file with mode: 0644]
combiner_calib/code/trb_net_std.vhd [new file with mode: 0644]
combiner_calib/code/txt_util.vhd [new file with mode: 0644]
combiner_calib/combiner.prj
combiner_calib/combiner.vhd

diff --git a/combiner_calib/code/Cal_Limits.vhd b/combiner_calib/code/Cal_Limits.vhd
new file mode 100644 (file)
index 0000000..c3f7182
--- /dev/null
@@ -0,0 +1,415 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+library work;
+use work.trb_net_std.all;
+
+entity Cal_Limits is
+    generic (
+       cal_Limit_gen   : unsigned(19 downto 0) := "00000000000100000000"
+    );    
+    port (
+        CLK                    : in  std_logic;
+        cal_Limit_reg  : in  unsigned(19 downto 0);
+        DIN_in         : in  std_logic_vector(31 downto 0);
+        DIN_in_b_r     : in  std_logic;
+        DIN_in_data_w  : in  std_logic;
+        DIN_in_data_f  : in  std_logic;
+        min_curr_in            : in  std_logic_vector( 9 downto 0);
+        max_curr_in            : in  std_logic_vector( 9 downto 0);
+        min_next_in            : in  std_logic_vector( 9 downto 0);
+        max_next_in            : in  std_logic_vector( 9 downto 0);
+        FPGA                   : in  std_logic_vector( 3 downto 0);
+        FPGA_next      : in  std_logic_vector( 3 downto 0);
+        chnl                   : in  std_logic_vector( 6 downto 0);
+        chnl_next              : in  std_logic_vector( 6 downto 0);
+        Do_Cal_in              : in  std_logic;
+        default_val_in         : in  std_logic := '1';
+        chnl_cnt_in    : in  unsigned(19 downto 0);
+        new_data       : in  std_logic;
+        write_curr             : out std_logic;
+        write_next             : out std_logic;
+        min_next               : out std_logic_vector( 9 downto 0);
+        max_next               : out std_logic_vector( 9 downto 0);
+        min_curr               : out std_logic_vector( 9 downto 0);
+        max_curr               : out std_logic_vector( 9 downto 0);
+        min_out                : out std_logic_vector( 9 downto 0);
+        max_out                : out std_logic_vector( 9 downto 0);
+        Delta                  : out std_logic_vector( 9 downto 0);
+        FPGA_out               : out std_logic_vector( 3 downto 0);
+        chnl_out               : out std_logic_vector( 6 downto 0);
+        FPGA_out_curr          : out std_logic_vector( 3 downto 0);
+        chnl_out_curr  : out std_logic_vector( 6 downto 0);
+        DIN_out                : out std_logic_vector(31 downto 0);
+        DIN_out_b_r    : out std_logic;
+        DIN_out_data_w : out std_logic;
+        DIN_out_data_f : out std_logic;
+        Do_Cal_out             : out std_logic;
+        chnl_cnt_out   : out unsigned (19 downto 0);--:="00000000000000000000";
+        write_chnl_cnt  : out std_logic;
+        chnl_out_write  : out std_logic_vector( 6 downto 0);
+        FPGA_out_write  : out std_logic_vector( 3 downto 0);
+        cal_Limit_set   : out unsigned (19 downto 0);
+        BUS_Flash_value : in  std_logic_vector(26 downto 0);
+        Flash_flag     : in  std_logic
+    );
+end Cal_Limits;
+
+architecture Behavioral of Cal_Limits is
+
+  signal FPGA_i     : std_logic_vector(3 downto 0);
+  signal Delta_i    : std_logic_vector(9 downto 0) := "0110110100";
+  
+  signal min_curr_i : std_logic_vector( 9 downto 0);--:= "0000000001";
+  signal max_curr_i : std_logic_vector( 9 downto 0);--:= "1000000001";
+  signal min_next_i : std_logic_vector( 9 downto 0);--:= "0000000001";
+  signal max_next_i : std_logic_vector( 9 downto 0);--:= "1000000001";
+
+  signal min_curr_ii : std_logic_vector( 9 downto 0);--:= "0000000001";
+  signal max_curr_ii : std_logic_vector( 9 downto 0);--:= "1000000001";
+  signal min_next_ii : std_logic_vector( 9 downto 0);--:= "0000000001";
+  signal max_next_ii : std_logic_vector( 9 downto 0);--:= "1000000001";
+
+  signal min_curr_iii : std_logic_vector( 9 downto 0);--:= "0000000001";
+  signal max_curr_iii : std_logic_vector( 9 downto 0);--:= "1000000001";
+  signal min_next_iii : std_logic_vector( 9 downto 0);--:= "0000000001";
+  signal max_next_iii : std_logic_vector( 9 downto 0);--:= "1000000001";
+  
+  signal cnt_i     : unsigned(19 downto 0):="00000000000000000000";
+  signal cnt_ii            : unsigned(19 downto 0):="00000000000000000000";
+  signal cnt_iii    : unsigned(19 downto 0):="00000000000000000000";
+
+  signal chnl_i     : std_logic_vector( 6 downto 0);
+  signal FPGA_ii    : std_logic_vector( 3 downto 0);
+  signal chnl_ii    : std_logic_vector( 6 downto 0);
+  signal use_old    : std_logic:='0';
+  
+  signal write_curr_i : std_logic;
+  
+  signal cal_Limit    : unsigned(19 downto 0):="00011000011010100000";--:="00011000011010100000";
+  
+  type array2D is array (1 downto 0, 0 to 64) of std_logic_vector(19 downto 0); --(FPGA)(channel)
+  signal def_value : array2D := (others => ("10000000010000000010","10000000100000000010","10000000110000000010","10000001000000000010",
+                                           "10000001010000000010","10000001100000000010","10000001110000000010","10000010000000000010",
+                                           "10000010010000000010","10000010100000000010","10000010110000000010","10000011000000000010",
+                                           "10000011010000000010","10000011100000000010", others => "11111000010000001111" ));
+  
+  --signal write_curr_ii : std_logic;
+  
+begin
+
+   Limit : process (CLK)
+   begin
+    if rising_edge(CLK) then 
+    
+      if (cal_Limit_reg <= cal_Limit_gen) then
+         cal_Limit     <= cal_Limit_gen;
+         cal_Limit_set <= cal_Limit_gen;
+      else
+         cal_Limit     <= cal_Limit_reg;
+         cal_Limit_set <= cal_Limit_reg;
+      end if;
+    
+    end if;
+   end process;
+
+   Count : process (CLK)
+   begin
+     if rising_edge(CLK) then
+        if Do_Cal_in = '1' then
+           if new_data = '0' then
+             if cnt_i < cal_Limit then
+               cnt_i        <= cnt_i + 1;
+               chnl_cnt_out <= cnt_i + 1;
+            else
+               cnt_i <= to_unsigned(0,20);
+               chnl_cnt_out <= to_unsigned(0,20);
+            end if;
+            write_chnl_cnt <= '0';
+            use_old <= '0'; 
+           else -- new data
+             chnl_cnt_out   <= cnt_i;
+             write_chnl_cnt <= '1';
+             
+             if FPGA_next = FPGA_i and chnl_next = chnl_i then
+               use_old <= '1';
+             else
+               use_old <= '0';
+             end if;
+             
+             if use_old = '0' then
+               if chnl_cnt_in < cal_Limit then
+               cnt_i <= chnl_cnt_in + 1;
+               else
+                cnt_i <= to_unsigned(0,20);
+               end if;
+             else
+               if cnt_ii < cal_Limit then
+               cnt_i <= cnt_ii + 1;
+               else
+                cnt_i <= to_unsigned(0,20);
+               end if;
+             end if;
+           end if; --new data
+           
+           FPGA_out         <= FPGA;
+
+           FPGA_i           <= FPGA;
+           FPGA_ii         <= FPGA_i;
+
+          chnl_out         <= chnl;
+           chnl_i          <= chnl;
+           chnl_ii         <= chnl_i;
+
+           cnt_ii          <= cnt_i;
+          cnt_iii          <= cnt_ii;
+          
+          FPGA_out_write <= FPGA_i;
+          chnl_out_write <= chnl_i;
+          
+          FPGA_out_curr <= FPGA;
+          chnl_out_curr <= chnl;
+        else
+          write_chnl_cnt <= '0';
+        end if;
+     end if;
+   end process;
+   
+   
+   Mem_next : process(CLK)--DIN_in
+   begin
+    if rising_edge(CLK) then
+     if Do_Cal_in = '1' then
+       if new_data = '0' then -- old Data/ FPGA/CHNL
+         if cnt_i /= to_unsigned(0,20) then --next memory
+          if unsigned(DIN_in(21 downto 12)) > unsigned(max_next_i) then
+             max_next_i <= DIN_in(21 downto 12);   
+          end if; 
+          if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_i) then
+             min_next_i <= DIN_in(21 downto 12);   
+          end if; 
+        else
+          min_next_i   <= DIN_in(21 downto 12);--"1111111111";
+          max_next_i   <= DIN_in(21 downto 12);--"0000000000";
+        end if;
+        write_next <= '0';
+        min_next <= min_next_i;
+        max_next <= max_next_i;
+       else -- new data/FPGA/CHNL
+        write_next <= '1';
+        min_next <= min_next_i;
+        max_next <= max_next_i;
+        if use_old = '1' then
+           if cnt_ii /= to_unsigned(0,20) then
+               if unsigned(DIN_in(21 downto 12)) >= unsigned(max_next_ii) then
+                  max_next_i <= DIN_in(21 downto 12);
+                  if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_ii) then
+                     min_next_i <= DIN_in(21 downto 12);
+                  else
+                     min_next_i <= min_next_ii;
+                  end if; 
+               else
+                  max_next_i <= max_next_ii;
+                  if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
+                     min_next_i <= DIN_in(21 downto 12);
+                  else
+                     min_next_i <= min_next_ii;
+                  end if; 
+               end if;
+           else
+               min_next_i   <= DIN_in(21 downto 12);--"1111111111";
+               max_next_i   <= DIN_in(21 downto 12);--"0000000000";
+           end if;
+        else -- fpga/=fpga_ii and chnl_iii /= chnl
+           if chnl_cnt_in /= to_unsigned(0,20) then --next memory
+              if unsigned(DIN_in(21 downto 12)) >= unsigned(max_next_in) then
+                max_next_i <= DIN_in(21 downto 12);
+                if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
+                  min_next_i <= DIN_in(21 downto 12);
+                else
+                  min_next_i <= min_next_in;
+                end if; 
+              else
+                max_next_i <= max_next_in;
+                if unsigned(DIN_in(21 downto 12)) < unsigned(min_next_in) then
+                  min_next_i <= DIN_in(21 downto 12);
+                else
+                  min_next_i <= min_next_in;
+                end if; 
+              end if;
+           else
+              min_next_i   <= DIN_in(21 downto 12);--"1111111111";
+              max_next_i   <= DIN_in(21 downto 12);--"0000000000";
+           end if; --/= 0
+       end if;
+       end if;--new data
+
+       min_next_ii  <= min_next_i;
+       max_next_ii  <= max_next_i;
+       min_next_iii <= min_next_ii;
+       max_next_iii <= max_next_ii;
+
+     else
+       write_next <= '0';
+     end if;  
+   end if;--rising_edge
+  end process;
+  
+  
+  Mem_curr : process(CLK)--DIN_in)
+  begin
+   if rising_edge(CLK) then
+     if default_val_in = '0' then
+       if Do_Cal_in = '1' then
+         if new_data = '0' then
+          if cnt_i /= to_unsigned(0,20) then
+             min_out <= min_curr_i;
+             max_out <= max_curr_i;
+             if (unsigned(min_curr_i) < unsigned(max_curr_i)) then
+               Delta_i <= std_logic_vector(unsigned(max_curr_i) - unsigned(min_curr_i));
+             else
+               Delta_i <= "0110110100";
+             end if;
+             min_curr <= min_curr_i;
+             max_curr <= max_curr_i;
+             write_curr <= '0';
+          else
+             min_out    <= min_next_i;
+             max_out    <= max_next_i;
+             min_curr_i <= min_next_i;
+             max_curr_i <= max_next_i;
+             min_curr <= min_next_i;
+             max_curr <= max_next_i;
+             if (unsigned(min_next_i) < unsigned(max_next_i)) then
+               Delta_i <= std_logic_vector(unsigned(max_next_i) - unsigned(min_next_i));
+             else
+               Delta_i <= "0110110100";
+             end if;  
+             write_curr <= '1';
+          end if;
+          
+          --min_curr <= min_curr_i;
+          --max_curr <= max_curr_i;
+        else  -- new data
+          if use_old = '1' then 
+               --min_curr   <= min_curr_i;
+               --max_curr   <= max_curr_i;
+             if cnt_ii = to_unsigned(0,20) then
+               write_curr <= '1';
+               min_curr_i <= min_next_ii;
+               max_curr_i <= max_next_ii;
+               min_out    <= min_next_ii;
+               max_out    <= max_next_ii;
+               min_curr   <= min_next_ii;
+               max_curr   <= max_next_ii;
+               if (unsigned(min_next_ii) < unsigned(max_next_ii)) then
+                 Delta_i <= std_logic_vector(unsigned(max_next_ii) - unsigned(min_next_ii));
+               else
+                 Delta_i <= "0110110100";
+               end if;
+            else
+               min_curr_i <= min_curr_ii;
+               max_curr_i <= max_curr_ii;
+               min_out    <= min_curr_ii;
+               max_out    <= max_curr_ii;
+               min_curr   <= min_curr_ii;
+               max_curr   <= max_curr_ii;
+               if (unsigned(min_curr_ii) < unsigned(max_curr_ii)) then
+                 Delta_i <= std_logic_vector(unsigned(max_curr_ii) - unsigned(min_curr_ii));
+               else
+                 Delta_i <= "0110110100";
+               end if;
+               write_curr <= '0';
+            end if;
+          else --use_old ='0'
+               --min_curr   <= min_curr_i;
+               --max_curr   <= max_curr_i;
+             if chnl_cnt_in = to_unsigned(0,20) then
+               write_curr <= '1';
+               min_curr_i <= min_next_in;
+               max_curr_i <= max_next_in;
+               min_out    <= min_next_in;
+               max_out    <= max_next_in;
+               min_curr   <= min_next_in;
+               max_curr   <= max_next_in;
+               if (unsigned(min_next_in) < unsigned(max_next_in)) then
+                 Delta_i <= std_logic_vector(unsigned(max_next_in) - unsigned(min_next_in));
+               else
+                 Delta_i <= "0110110100";
+               end if;
+            else
+               min_curr_i <= min_curr_in;
+               max_curr_i <= max_curr_in;
+               min_out    <= min_curr_in;
+               max_out    <= max_curr_in;
+               min_curr   <= min_curr_in;
+               max_curr   <= max_curr_in;
+               if (unsigned(min_curr_in) < unsigned(max_curr_in)) then
+                 Delta_i <= std_logic_vector(unsigned(max_curr_in) - unsigned(min_curr_in));
+               else
+                 Delta_i <= "0110110100";
+               end if;
+               write_curr <= '0';
+            end if;
+          end if;  
+         end if;
+       else -- no calibr
+         write_curr <= '0';
+       end if;
+     elsif default_val_in = '1' then
+     --FLASH
+        write_curr <= '1';
+        min_out    <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
+        max_out    <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
+        min_curr   <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
+        max_curr   <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
+        min_curr_i <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
+        max_curr_i <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
+        Delta_i    <= std_logic_vector(unsigned(def_value(0,to_integer(unsigned(chnl)))(19 downto 10)) - unsigned(def_value(0,to_integer(unsigned(chnl)))(9 downto 0)));
+     else
+         write_curr <= '0';
+         min_out    <= "0000000100";
+         max_out    <= "1000000000";
+         min_curr   <= "0000000100";
+         max_curr   <= "1000000000";
+         min_curr_i <= "0000000100";
+         max_curr_i <= "1000000000";
+         Delta_i    <= "0111111011";--"0111111110";
+     end if;--default value
+
+       min_curr_ii  <= min_curr_i;
+       max_curr_ii  <= max_curr_i;
+       min_curr_iii <= min_curr_ii;
+       max_curr_iii <= max_curr_ii;
+       --write_curr_ii   <= write_curr_i;
+       --write_curr  <= write_curr_i;
+       
+       --max_curr <= "1000000001";
+  end if;--rising_edge
+ end process;
+   proc_Flash_input : process (CLK)
+   begin
+     if rising_edge(CLK) then
+       if Flash_flag = '1' then
+         def_value(0,to_integer(unsigned(BUS_Flash_value(26 downto 20))))( 19 downto  0) <= BUS_Flash_value(19 downto 0);
+         
+       end if;
+     end if;
+   end process;
+   --synchronous output
+   proc_slope : process (CLK)
+   begin
+     if rising_edge(CLK) then
+        DIN_out        <= DIN_in;
+        DIN_out_b_r    <= DIN_in_b_r;
+        DIN_out_data_w <= DIN_in_data_w;
+        DIN_out_data_f         <= DIN_in_data_f;
+        Do_Cal_out     <= Do_Cal_in;
+     end if;
+   end process;
+
+   Delta <= Delta_i;
+end Behavioral;
\ No newline at end of file
diff --git a/combiner_calib/code/Cal_Limits_v2.vhd b/combiner_calib/code/Cal_Limits_v2.vhd
new file mode 100644 (file)
index 0000000..615292d
--- /dev/null
@@ -0,0 +1,377 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+library work;
+use work.trb_net_std.all;
+
+entity Cal_Limits_v2 is
+       generic (
+               cal_Limit_gen   : unsigned(19 downto 0) := "00000000000100000000"
+       );    
+       port (
+               CLK                                                     : in  std_logic;
+               cal_Limit_reg           : in  unsigned(19 downto 0);
+               DIN                                                     :       in  std_logic_vector(31 downto 0);
+               DIN_ready                               : in  std_logic;
+               DIN_type                                : in  std_logic_vector( 3 downto 0);
+               min_curr_in                     : in  std_logic_vector( 9 downto 0);
+               max_curr_in                     : in  std_logic_vector( 9 downto 0);
+               min_next_in                     : in  std_logic_vector( 9 downto 0);
+               max_next_in                     : in  std_logic_vector( 9 downto 0);
+               FPGA                                            : in  std_logic_vector( 3 downto 0);
+               FPGA_next                               : in  std_logic_vector( 3 downto 0);
+               chnl                                            : in  std_logic_vector( 6 downto 0);
+               chnl_next                               : in  std_logic_vector( 6 downto 0);
+               Do_Cal_in                               : in  std_logic;
+               chnl_cnt_in                     : in  unsigned(19 downto 0);
+               new_data                                : in  std_logic;
+               write_curr                      : out std_logic;
+               write_next                      : out std_logic;
+               min_next                                : out std_logic_vector( 9 downto 0);
+               max_next                                : out std_logic_vector( 9 downto 0);
+               min_curr                                : out std_logic_vector( 9 downto 0);
+               max_curr                                : out std_logic_vector( 9 downto 0);
+               min_out                                 : out std_logic_vector( 9 downto 0);
+               max_out                                 : out std_logic_vector( 9 downto 0);
+               Delta                                           : out std_logic_vector( 9 downto 0);
+               FPGA_out                                : out std_logic_vector( 3 downto 0);
+               chnl_out                                : out std_logic_vector( 6 downto 0);
+               FPGA_out_curr           : out std_logic_vector( 3 downto 0);
+               chnl_out_curr           : out std_logic_vector( 6 downto 0);
+               DOUT                                            : out std_logic_vector(31 downto 0);
+               DOUT_ready                      : out std_logic;
+               DOUT_type                               : out std_logic_vector( 3 downto 0);
+               Do_Cal_out                      : out std_logic;
+               chnl_cnt_out            : out unsigned (19 downto 0);--:="00000000000000000000";
+               write_chnl_cnt  : out std_logic;
+               chnl_out_write  : out std_logic_vector( 6 downto 0);
+               FPGA_out_write  : out std_logic_vector( 3 downto 0);
+               cal_Limit_set           : out unsigned (19 downto 0);
+               BUS_Flash_value : in  std_logic_vector(27 downto 0);
+               Flash_flag                      : in  std_logic
+       );
+end Cal_Limits_v2;
+
+architecture Behavioral of Cal_Limits_v2 is
+
+       signal FPGA_i      : std_logic_vector( 3 downto 0);
+       signal Delta_i     : std_logic_vector( 9 downto 0) := "0110110100"; 
+       signal min_curr_i  : std_logic_vector( 9 downto 0);
+       signal max_curr_i  : std_logic_vector( 9 downto 0);
+       signal min_next_i  : std_logic_vector( 9 downto 0);
+       signal max_next_i  : std_logic_vector( 9 downto 0);
+       signal min_curr_ii : std_logic_vector( 9 downto 0);
+       signal max_curr_ii : std_logic_vector( 9 downto 0);
+       signal min_next_ii : std_logic_vector( 9 downto 0);
+       signal max_next_ii : std_logic_vector( 9 downto 0);
+       signal cnt_i         : unsigned(19 downto 0):="00000000000000000000";
+       signal cnt_ii        : unsigned(19 downto 0):="00000000000000000000";
+       signal chnl_i      : std_logic_vector( 6 downto 0);
+       signal use_old     : std_logic:='0';
+       signal cal_Limit   : unsigned(19 downto 0):="00011000011010100000";
+       
+       type array2D is array (1 downto 0, 0 to 64) of std_logic_vector(19 downto 0); --(FPGA)(channel)
+       signal def_value : array2D := (others => ("10000000010000000010","10000000100000000010","10000000110000000010","10000001000000000010",
+                                                                                                                                                                               "10000001010000000010","10000001100000000010","10000001110000000010","10000010000000000010",
+                                                                                                                                                                               "10000010010000000010","10000010100000000010","10000010110000000010","10000011000000000010",
+                                                                                                                                                                               "10000011010000000010","10000011100000000010", others => "11111000010000001111" ));
+       
+       type bit_2D is array (16 downto 0, 63 downto 0) of std_logic; --(channel)
+       signal dflt_i   : bit_2D := (others => (others => '1'));
+  
+begin
+
+       Limit : process (CLK)
+       begin
+       if rising_edge(CLK) then 
+    
+               if (cal_Limit_reg <= cal_Limit_gen) then
+                       cal_Limit     <= cal_Limit_gen;
+                       cal_Limit_set <= cal_Limit_gen;
+               else
+                       cal_Limit     <= cal_Limit_reg;
+                       cal_Limit_set <= cal_Limit_reg;
+               end if;
+    
+       end if;
+       end process;
+
+       Count : process (CLK)
+       begin
+       if rising_edge(CLK) then
+               if Do_Cal_in = '1' then
+                       if new_data = '0' then
+                               if cnt_i < cal_Limit then
+                                       cnt_i        <= cnt_i + 1;
+                                       chnl_cnt_out <= cnt_i + 1;
+                               else
+                                       cnt_i                           <= to_unsigned(0,20);
+                                       chnl_cnt_out    <= to_unsigned(0,20);
+                                       dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) <= '0';
+                               end if;
+                               write_chnl_cnt <= '0';
+                               use_old <= '0'; 
+                       else -- new data
+                               chnl_cnt_out   <= cnt_i;
+                               write_chnl_cnt <= '1';
+            
+                               if FPGA_next = FPGA_i and chnl_next = chnl_i then
+                                       use_old <= '1';
+                               else
+                                       use_old <= '0';
+                               end if;
+             
+                               if use_old = '0' then
+                                       if chnl_cnt_in < cal_Limit then
+                                               cnt_i <= chnl_cnt_in + 1;
+                                       else
+                                               cnt_i <= to_unsigned(0,20);
+                                               dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) <= '0';
+                                       end if;
+                               else
+                                       if cnt_ii < cal_Limit then
+                                               cnt_i <= cnt_ii + 1;
+                                       else
+                                               cnt_i <= to_unsigned(0,20);
+                                               dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) <= '0';
+                                       end if;
+                               end if;
+                       end if; --new data
+           
+                       FPGA_out                                <= FPGA;
+                       FPGA_i                                  <= FPGA;
+                       chnl_out                                <= chnl;
+                       chnl_i                                  <= chnl;
+                       cnt_ii                                  <= cnt_i; 
+                       FPGA_out_write  <= FPGA_i;
+                       chnl_out_write  <= chnl_i;
+                       FPGA_out_curr           <= FPGA;
+                       chnl_out_curr           <= chnl;
+               else
+                       write_chnl_cnt  <= '0';
+               end if;
+       end if;
+       end process;
+   
+   
+       Mem_next : process(CLK)--DIN_in
+       begin
+       if rising_edge(CLK) then
+               if Do_Cal_in = '1' then
+                       if new_data = '0' then -- old Data/ FPGA/CHNL
+                               if cnt_i /= to_unsigned(0,20) then --next memory
+                                       if unsigned(DIN(21 downto 12)) > unsigned(max_next_i) then
+                                               max_next_i <= DIN(21 downto 12);   
+                                       end if; 
+                                       if unsigned(DIN(21 downto 12)) < unsigned(min_next_i) then
+                                               min_next_i <= DIN(21 downto 12);   
+                                       end if; 
+                               else
+                                       min_next_i   <= DIN(21 downto 12);--"1111111111";
+                                       max_next_i   <= DIN(21 downto 12);--"0000000000";
+                               end if;
+                               write_next      <= '0';
+                               min_next                <= min_next_i;
+                               max_next                <= max_next_i;
+                       else -- new data/FPGA/CHNL
+                               write_next      <= '1';
+                               min_next                <= min_next_i;
+                               max_next                <= max_next_i;
+                               if use_old = '1' then
+                                       if cnt_ii /= to_unsigned(0,20) then
+                                               if unsigned(DIN(21 downto 12)) >= unsigned(max_next_ii) then
+                                                       max_next_i <= DIN(21 downto 12);
+                                                       if unsigned(DIN(21 downto 12)) < unsigned(min_next_ii) then
+                                                               min_next_i <= DIN(21 downto 12);
+                                                       else
+                                                               min_next_i <= min_next_ii;
+                                                       end if; 
+                                               else
+                                                       max_next_i <= max_next_ii;
+                                                       if unsigned(DIN(21 downto 12)) < unsigned(min_next_in) then
+                                                               min_next_i <= DIN(21 downto 12);
+                                                       else
+                                                               min_next_i <= min_next_ii;
+                                                       end if; 
+                                               end if;
+                                       else
+                                               min_next_i   <= DIN(21 downto 12);--"1111111111";
+                                               max_next_i   <= DIN(21 downto 12);--"0000000000";
+                                       end if;
+                               else -- fpga/=fpga_ii and chnl_iii /= chnl
+                                       if chnl_cnt_in /= to_unsigned(0,20) then --next memory
+                                               if unsigned(DIN(21 downto 12)) >= unsigned(max_next_in) then
+                                                       max_next_i <= DIN(21 downto 12);
+                                                       if unsigned(DIN(21 downto 12)) < unsigned(min_next_in) then
+                                                               min_next_i <= DIN(21 downto 12);
+                                                       else
+                                                               min_next_i <= min_next_in;
+                                                       end if; 
+                                               else
+                                                       max_next_i <= max_next_in;
+                                                       if unsigned(DIN(21 downto 12)) < unsigned(min_next_in) then
+                                                               min_next_i <= DIN(21 downto 12);
+                                                       else
+                                                               min_next_i <= min_next_in;
+                                                       end if; 
+                                               end if;
+                                       else
+                                               min_next_i      <= DIN(21 downto 12);--"1111111111";
+                                               max_next_i      <= DIN(21 downto 12);--"0000000000";
+                                       end if; --/= 0
+                               end if;
+                       end if;--new data
+
+                       min_next_ii     <= min_next_i;
+                       max_next_ii <= max_next_i;
+
+               else
+                       write_next <= '0';
+               end if;  
+       end if;--rising_edge
+       end process;
+  
+  
+       Mem_curr : process(CLK)--DIN)
+       begin
+       if rising_edge(CLK) then
+               if dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) = '0' then
+                       if Do_Cal_in = '1' then
+                               if new_data = '0' then
+                                       if cnt_i /= to_unsigned(0,20) then
+                                               min_out <= min_curr_i;
+                                               max_out <= max_curr_i;
+                                               if (unsigned(min_curr_i) < unsigned(max_curr_i)) then
+                                                       Delta_i <= std_logic_vector(unsigned(max_curr_i) - unsigned(min_curr_i));
+                                               else
+                                                       Delta_i <= "0110110100";
+                                               end if;
+                                               min_curr <= min_curr_i;
+                                               max_curr <= max_curr_i;
+                                               write_curr <= '0';
+                                       else
+                                               min_out    <= min_next_i;
+                                               max_out    <= max_next_i;
+                                               min_curr_i <= min_next_i;
+                                               max_curr_i <= max_next_i;
+                                               min_curr <= min_next_i;
+                                               max_curr <= max_next_i;
+                                               if (unsigned(min_next_i) < unsigned(max_next_i)) then
+                                                       Delta_i <= std_logic_vector(unsigned(max_next_i) - unsigned(min_next_i));
+                                               else
+                                                       Delta_i <= "0110110100";
+                                               end if;  
+                                               write_curr <= '1';
+                                       end if;
+                               else  -- new data
+                                       if use_old = '1' then 
+                                               if cnt_ii = to_unsigned(0,20) then
+                                                       write_curr <= '1';
+                                                       min_curr_i <= min_next_ii;
+                                                       max_curr_i <= max_next_ii;
+                                                       min_out    <= min_next_ii;
+                                                       max_out    <= max_next_ii;
+                                                       min_curr   <= min_next_ii;
+                                                       max_curr   <= max_next_ii;
+                                                       if (unsigned(min_next_ii) < unsigned(max_next_ii)) then
+                                                               Delta_i <= std_logic_vector(unsigned(max_next_ii) - unsigned(min_next_ii));
+                                                       else
+                                                               Delta_i <= "0110110100";
+                                                       end if;
+                                               else
+                                                       min_curr_i <= min_curr_ii;
+                                                       max_curr_i <= max_curr_ii;
+                                                       min_out    <= min_curr_ii;
+                                                       max_out    <= max_curr_ii;
+                                                       min_curr   <= min_curr_ii;
+                                                       max_curr   <= max_curr_ii;
+                                                       if (unsigned(min_curr_ii) < unsigned(max_curr_ii)) then
+                                                               Delta_i <= std_logic_vector(unsigned(max_curr_ii) - unsigned(min_curr_ii));
+                                                       else
+                                                               Delta_i <= "0110110100";
+                                                       end if;
+                                                       write_curr <= '0';
+                                               end if;
+                                       else    --use_old ='0'
+                                               if chnl_cnt_in = to_unsigned(0,20) then
+                                                       write_curr <= '1';
+                                                       min_curr_i <= min_next_in;
+                                                       max_curr_i <= max_next_in;
+                                                       min_out    <= min_next_in;
+                                                       max_out    <= max_next_in;
+                                                       min_curr   <= min_next_in;
+                                                       max_curr   <= max_next_in;
+                                                       if (unsigned(min_next_in) < unsigned(max_next_in)) then
+                                                               Delta_i <= std_logic_vector(unsigned(max_next_in) - unsigned(min_next_in));
+                                                       else
+                                                               Delta_i <= "0110110100";
+                                                       end if;
+                                               else
+                                                       min_curr_i <= min_curr_in;
+                                                       max_curr_i <= max_curr_in;
+                                                       min_out    <= min_curr_in;
+                                                       max_out    <= max_curr_in;
+                                                       min_curr   <= min_curr_in;
+                                                       max_curr   <= max_curr_in;
+                                                       if (unsigned(min_curr_in) < unsigned(max_curr_in)) then
+                                                               Delta_i <= std_logic_vector(unsigned(max_curr_in) - unsigned(min_curr_in));
+                                                       else
+                                                               Delta_i <= "0110110100";
+                                                       end if;
+                                                       write_curr <= '0';
+                                               end if;
+                                       end if;  
+                               end if;
+                       else -- no calibr
+                               write_curr <= '0';
+                       end if;
+               elsif dflt_i(to_integer(unsigned(FPGA)),to_integer(unsigned(chnl))) = '1' then
+     --FLASH
+                       write_curr <= '1';
+                       min_out    <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
+                       max_out    <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
+                       min_curr   <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
+                       max_curr   <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
+                       min_curr_i <= def_value(0,to_integer(unsigned(chnl)))( 9 downto  0);
+                       max_curr_i <= def_value(0,to_integer(unsigned(chnl)))(19 downto 10);
+                       Delta_i    <= std_logic_vector(unsigned(def_value(0,to_integer(unsigned(chnl)))(19 downto 10)) - unsigned(def_value(0,to_integer(unsigned(chnl)))(9 downto 0)));
+               else
+                       write_curr <= '0';
+                       min_out    <= "0000000100";
+                       max_out    <= "1000000000";
+                       min_curr   <= "0000000100";
+                       max_curr   <= "1000000000";
+                       min_curr_i <= "0000000100";
+                       max_curr_i <= "1000000000";
+                       Delta_i    <= "0111111011";--"0111111110";
+               end if;--default value
+
+               min_curr_ii  <= min_curr_i;
+               max_curr_ii  <= max_curr_i;
+
+       end if;--rising_edge
+       end process;
+       proc_Flash_input : process (CLK)
+       begin
+       if rising_edge(CLK) then
+               if Flash_flag = '1' then
+                       def_value(0,to_integer(unsigned(BUS_Flash_value(27 downto 20))))( 19 downto  0) <= BUS_Flash_value(19 downto 0);
+               end if;
+       end if;
+       end process;
+       --synchronous output
+       proc_slope : process (CLK)
+       begin
+       if rising_edge(CLK) then
+               DOUT                            <= DIN;
+               DOUT_ready      <= DIN_ready;
+               DOUT_type               <= DIN_type;
+               Do_Cal_out      <= Do_Cal_in;
+       end if;
+       end process;
+
+       Delta <= Delta_i;
+end Behavioral;
\ No newline at end of file
diff --git a/combiner_calib/code/Calc_output.vhd b/combiner_calib/code/Calc_output.vhd
new file mode 100644 (file)
index 0000000..7eef4cc
--- /dev/null
@@ -0,0 +1,42 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity calc_output is
+       Port (       
+               CLK                                                     : in  std_logic;
+               DIN                                                     : in  std_logic_vector(31 downto 0);
+               DIN_ready                               : in  std_logic;
+               DIN_type                                : in  std_logic_vector( 3 downto 0);
+               do_cal_in                               : in  std_logic;
+               overshoot_in            : in  std_logic := '0';
+               undershoot_in           : in  std_logic := '0';
+               slope                                           : in  std_logic_vector(11 downto 0);
+               factor                                  : in  std_logic_vector( 9 downto 0);
+               DOUT                                            : out std_logic_vector(31 downto 0);
+               DOUT_ready                      : out std_logic;
+               DOUT_type                               : out std_logic_vector( 3 downto 0);
+               do_cal_out                      : out std_logic;
+               overshoot_out           : out std_logic := '0';
+               undershoot_out  : out std_logic := '0';
+               Cal_Data_out            : out std_logic_vector(21 downto 0)
+       );
+end calc_output;
+
+architecture Behavioral of calc_output is
+  
+begin
+       cnt : process (CLK,factor,slope)
+       begin
+       if rising_edge(CLK) then 
+               Cal_Data_out            <= std_logic_vector( (unsigned(factor) * unsigned(slope)) + to_unsigned(512,9));
+               DOUT                                            <= DIN;
+               DOUT_ready                      <= DIN_ready;
+               DOUT_type                               <= DIN_type;
+               do_cal_out                      <= do_cal_in;
+               overshoot_out           <= overshoot_in;
+               undershoot_out  <= undershoot_in;
+       end if; 
+       end process;
+
+end Behavioral;
diff --git a/combiner_calib/code/Calibration.vhd b/combiner_calib/code/Calibration.vhd
new file mode 100644 (file)
index 0000000..f6cfd50
--- /dev/null
@@ -0,0 +1,430 @@
+----------------------------------------------------------------------------------
+-- Company: JLU Giessen
+-- Engineer: Adrian Weber
+-- 
+-- Create Date: 06.01.2017 13:32:05
+-- Module Name: Calibration - Behavioral
+-- Project Name: TDC Calibration
+-- Target Devices: TrbSc
+----------------------------------------------------------------------------------
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+library work;
+use work.trb_net_std.all;
+
+entity TDC_Calibration is
+    Port ( 
+        CLK                                    : in  std_logic;
+        DIN                                    : in  std_logic_vector(31 downto 0);
+        DIN_TYPE               : in  std_logic_vector( 3 downto 0);
+        DIN_READY              : in  std_logic;
+        DIN_STAT               : in  std_logic_vector(31 downto 0);
+        DOUT                           : out std_logic_vector(31 downto 0);
+        DOUT_TYPE              : out std_logic_vector( 3 downto 0);
+        DOUT_READY     : out std_logic;
+        DOUT_STAT              : out std_logic_vector(31 downto 0);
+        BUS_RX                 : in  CTRLBUS_RX;
+        BUS_TX                 : out CTRLBUS_TX
+    );
+end TDC_Calibration;
+
+architecture Behavioral of TDC_Calibration is
+  signal Dout_int                                              : std_logic_vector(21 downto 0);
+  signal DIN_i_Mem                                             : std_logic_vector(31 downto 0) := (others => '0');
+  signal DIN_i_Mem_ready                       : std_logic;
+  signal DIN_i_Mem_type                                : std_logic_vector( 3 downto 0);
+  signal Default_val_Mem                       : std_logic;
+  signal DIN_o_Lim                                             : std_logic_vector(31 downto 0) := (others => '0');
+  signal DIN_o_Lim_ready                       : std_logic;
+  signal DIN_o_Lim_type                                : std_logic_vector( 3 downto 0);
+  signal min_out_Lim                   : std_logic_vector( 9 downto 0) := (others => '0');
+  signal max_out_Lim                   : std_logic_vector( 9 downto 0) := (others => '0');
+  signal Delta_Lim                     : std_logic_vector( 9 downto 0) := "0110110100";
+  signal min_next_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
+  signal max_next_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
+  signal min_curr_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
+  signal max_curr_Lim                  : std_logic_vector( 9 downto 0) := (others => '0');
+  signal FPGA_Lim                      : std_logic_vector( 3 downto 0) ;
+  signal chnl_Lim                      : std_logic_vector( 6 downto 0) := (others => '0');
+  signal do_cal_LIM                    : std_logic;
+  signal DIN_o_LUT                     : std_logic_vector(31 downto 0) := (others => '0');
+  signal DIN_o_LUT_ready               : std_logic;
+  signal DIN_o_LUT_type                                : std_logic_vector( 3 downto 0);
+  signal min_out_LUT                   : std_logic_vector( 9 downto 0) := (others => '0');
+  signal max_out_LUT                   : std_logic_vector( 9 downto 0) := (others => '1');
+  signal Delta_LUT                     : std_logic_vector( 9 downto 0) := (others => '0');
+  signal slope_LUT                     : std_logic_vector(11 downto 0) := (others => '0');
+  signal cal_flag_LUT                  : std_logic := '0';
+  signal cal_flag_LUT_out              : std_logic := '0';
+  signal FPGA_in_LUT                   : std_logic_vector( 3 downto 0);
+  signal read_next                     : std_logic;
+  signal write_next                    : std_logic;
+  signal read_vld_next                 : std_logic; 
+  signal write_vld_next                : std_logic;   
+  signal FPGA_next                     : std_logic_vector( 3 downto 0);
+  signal chnl_next                     : std_logic_vector( 6 downto 0);
+  signal min_next                      : std_logic_vector( 9 downto 0);
+  signal max_next                      : std_logic_vector( 9 downto 0);
+  signal min_in_next                   : std_logic_vector( 9 downto 0);
+  signal max_in_next                   : std_logic_vector( 9 downto 0); 
+  signal read_curr                     : std_logic;    
+  signal write_curr                    : std_logic;
+  signal FPGA_curr                     : std_logic_vector( 3 downto 0);
+  signal chnl_curr                     : std_logic_vector( 6 downto 0);
+  signal min_curr                      : std_logic_vector( 9 downto 0);
+  signal max_curr                      : std_logic_vector( 9 downto 0);
+  signal min_in_curr                   : std_logic_vector( 9 downto 0);
+  signal max_in_curr                   : std_logic_vector( 9 downto 0); 
+  signal factor                        : std_logic_vector( 9 downto 0);
+  signal DIN_o_cnt                     : std_logic_vector(31 downto 0);
+  signal DIN_o_cnt_ready               : std_logic;
+  signal DIN_o_cnt_type                                : std_logic_vector( 3 downto 0);
+  signal FPGA_o_cnt                    : std_logic_vector( 3 downto 0);
+  signal read_cal_cnt                  : std_logic;
+  signal read_dflt_cnt                 : std_logic;
+  signal chnl_read_cnt                 : std_logic_vector( 6 downto 0);
+  signal write_cal_cnt                         : std_logic;
+  signal write_dflt_cnt                        : std_logic;
+  signal dflt_cnt_in                   : std_logic;
+  signal dflt_cnt_out                          : std_logic;
+  signal cal_cnt_in                    : unsigned(19 downto 0);
+  signal cal_cnt_out                   : unsigned(19 downto 0);   
+  signal FPGA_cnt_val                  : std_logic_vector( 3 downto 0);
+  signal chnl_cnt_val                  : std_logic_vector( 6 downto 0);
+  signal DIN_o_cnt_val                 : std_logic_vector(31 downto 0);
+  signal DIN_o_cnt_val_ready                   : std_logic;
+  signal DIN_o_cnt_val_type                            : std_logic_vector( 3 downto 0);
+  signal DIN_MemCurr_data              : std_logic_vector(31 downto 0);
+  signal DIN_MemCurr_ready             : std_logic;
+  signal DIN_MemCurr_type                              : std_logic_vector( 3 downto 0);
+  signal Do_Cal_read_cnt               : std_logic;
+  signal Do_Cal_compare_old            : std_logic;
+  signal DIN_out_data_compare_old      : std_logic_vector(31 downto 0);
+  signal DIN_out_ready_compare_old     : std_logic;
+  signal DIN_out_type_compare_old      : std_logic_vector( 3 downto 0);
+  signal read_compare_old              : std_logic;
+  signal CHNL_out_compare_old          : std_logic_vector( 6 downto 0);
+  signal FPGA_out_compare_old          : std_logic_vector( 3 downto 0);
+  signal CHNL_out_Memory               : std_logic_vector( 6 downto 0);
+  signal FPGA_out_Memory               : std_logic_vector( 3 downto 0);
+  signal Do_Cal_Memory                 : std_logic;
+  signal write_chnl_cnt                        : std_logic;
+  signal new_data_Memory               : std_logic;
+  signal chnl_out_write                        : std_logic_vector( 6 downto 0);
+  signal FPGA_out_write                        : std_logic_vector( 3 downto 0);
+  signal BUS_do_Cal                    : std_logic := '1';
+  signal overshoot_LUT                 : std_logic := '0';
+  signal undershoot_LUT                        : std_logic := '0';
+  signal Cal_Limit_reg                 : unsigned(19 downto 0) := "00011000011010100000";
+  signal cal_Limit_set                 : unsigned(19 downto 0);    
+  signal Do_cal_CalcOut                        : std_logic := '0';
+  signal DIN_o_CalcOut                 : std_logic_vector(31 downto 0);
+  signal DIN_o_CalcOut_ready                   : std_logic;
+  signal DIN_o_CalcOut_type                            : std_logic_vector( 3 downto 0);
+  signal overshoot_CalcOut             : std_logic := '0';
+  signal undershoot_CalcOut            : std_logic := '0';
+  signal Bus_Chnl                      : std_logic_vector( 6 downto 0);
+  signal Bus_min                       : std_logic_vector( 9 downto 0);
+  signal Bus_max                       : std_logic_vector( 9 downto 0); 
+  signal FPGA_out_curr                         : std_logic_vector( 3 downto 0);
+  signal chnl_out_curr                         : std_logic_vector( 6 downto 0);
+  signal BUS_Flash_value               : std_logic_vector(27 downto 0);
+  signal Flash_flag                    : std_logic;
+  
+begin
+
+--BUS Handler
+proc_reg : process begin
+  wait until rising_edge(CLK);
+  BUS_TX.ack     <= '0';
+  BUS_TX.nack    <= '0';
+  BUS_TX.unknown <= '0';
+  Flash_flag    <= '0';
+  
+  if BUS_RX.write = '1' then
+    BUS_TX.ack <= '1';
+    if BUS_RX.addr(11 downto 0) > x"006" and BUS_RX.addr(11 downto 0) < x"048" then
+       BUS_Flash_value <= std_logic_vector(unsigned(BUS_RX.addr(7 downto 0))-7) & BUS_RX.data(19 downto 10) & BUS_RX.data(9 downto 0);
+       Flash_flag <= '1';
+    else
+      case BUS_RX.addr(11 downto 0) is
+                               when x"000"   => 
+                                                               BUS_do_Cal      <= BUS_RX.data(0);                                                                                      -- change between w/ and w/o FPGA based Calibration
+                               when x"001"   => 
+                                                               Cal_Limit_reg   <= unsigned(BUS_RX.data(19 downto 0));          -- Set Maximum Value for Calibration Counter
+                               when x"003"   => 
+                                                               Bus_Chnl        <= BUS_RX.data(6 downto 0);                                                             -- set channel for Min/Max Output
+                               when others   =>
+                                                               BUS_TX.ack                      <= '0'; 
+                                                               BUS_TX.unknown  <= '1';
+      end case;
+    end if;  
+  elsif BUS_RX.read = '1' then
+    BUS_TX.ack <= '1';
+    case BUS_RX.addr(11 downto 0) is
+      when x"000"   => BUS_TX.data(31 downto  1) <= (others => '0');
+                      BUS_TX.data(0) <= BUS_do_Cal;
+      when x"001"   => BUS_TX.data(31 downto 20) <= (others => '0');
+                      BUS_TX.data(19 downto  0) <= std_logic_vector(cal_Limit_set);
+      when x"004"   => BUS_TX.data(31 downto 10) <= (others => '0');
+                      BUS_TX.data( 9 downto  0) <= Bus_min;
+      when x"005"   => BUS_TX.data(31 downto 10) <= (others => '0');
+                      BUS_TX.data( 9 downto  0) <= Bus_max;
+      when others   => BUS_TX.ack <= '0'; BUS_TX.unknown <= '1';
+    end case;
+  end if;
+end process;
+
+
+
+       read_cntr : entity work.read_cnt
+               port map(
+                       CLK                                     => CLK,
+                       chnl                            => chnl_read_cnt,
+                       FPGA_out                => FPGA_o_cnt,
+                       DIN                                     => DIN_i_Mem,
+                       DIN_ready               => DIN_i_Mem_ready,
+                       DIN_type                => DIN_i_Mem_type,
+                       DOUT                            => DIN_o_cnt,
+                       DOUT_ready      => DIN_o_cnt_ready,
+                       DOUT_type               => DIN_o_cnt_type,
+                       Do_Cal                  => Do_Cal_read_cnt
+               );
+
+       ent_compare_old : entity work.compare_old
+               port map(
+                       CLK                                     => CLK,
+                       DIN                                     => DIN_o_cnt,
+                       DIN_ready       => DIN_o_cnt_ready,
+                       DIN_type                => DIN_o_cnt_type,
+                       FPGA_in                 => FPGA_o_cnt,
+                       CHNL_in                 => chnl_read_cnt,
+                       Do_Cal_in               => Do_Cal_read_cnt,
+                       CHNL_out                => CHNL_out_compare_old,
+                       FPGA_out                => FPGA_out_compare_old,
+                       DOUT                            => DIN_out_data_compare_old,
+                       DOUT_ready      => DIN_out_ready_compare_old,
+                       DOUT_type       => DIN_out_type_compare_old,
+                       Do_Cal_out      => Do_Cal_compare_old,
+                       read                            => read_compare_old   
+               );
+   
+       ent_cnt_val : entity work.cnt_val
+               port map(
+                       CLK                                     => CLK,
+                       read                            => read_compare_old,
+                       write                           => write_chnl_cnt,
+                       FPGA_read               => FPGA_out_compare_old,
+                       chnl_read               => CHNL_out_compare_old,
+                       FPGA_write      => FPGA_out_write,
+                       chnl_write      => chnl_out_write,
+                       cal_cnt                 => cal_cnt_in, 
+                       cal_cnt_out     => cal_cnt_out,
+                       DIN                                     => DIN_out_data_compare_old,
+                       DIN_ready               => DIN_out_ready_compare_old,
+                       DIN_type                => DIN_out_type_compare_old,
+                       DOUT                            => DIN_o_cnt_val,
+                       DOUT_ready      => DIN_o_cnt_val_ready,
+                       DOUT_type               => DIN_o_cnt_val_type
+               );
+
+       ent_dflt_val : entity work.dflt_val
+               port map(
+                       CLK                                     => CLK,
+                       read                            => read_compare_old,
+                       FPGA_read               => FPGA_out_compare_old,
+                       chnl_read               => CHNL_out_compare_old,
+                       dflt_out                => Default_val_Mem
+               );
+   
+
+       Mem_next : entity work.Memory
+               port map(
+                       CLK                                     => CLK,
+                       read                            => read_compare_old,
+                       write                           => write_next,
+                       FPGA_read               => FPGA_out_compare_old,
+                       chnl_read               => CHNL_out_compare_old,
+                       FPGA_write      => FPGA_out_write,
+                       chnl_write      => chnl_out_write,
+                       min                                     => min_next_Lim,
+                       max                                     => max_next_Lim,
+                       min_out                 => min_next,
+                       max_out                 => max_next,
+                       Do_Cal_in               => Do_Cal_compare_old,
+                       DIN                                     => DIN_out_data_compare_old,
+                       DIN_ready               => DIN_out_ready_compare_old,
+                       DIN_type                => DIN_out_type_compare_old
+               );
+
+       Mem_curr : entity work.Memory_curr
+               port map(
+                       CLK                                     => CLK,
+                       read                            => read_compare_old,
+                       write                           => write_curr,
+                       FPGA_read               => FPGA_out_compare_old,
+                       chnl_read               => CHNL_out_compare_old,
+                       FPGA_write      => FPGA_out_curr,
+                       chnl_write      => chnl_out_curr,
+                       min                                     => min_curr_Lim,
+                       max                                     => max_curr_Lim,
+                       min_out                 => min_curr,
+                       max_out                 => max_curr,
+                       Do_Cal_in               => Do_Cal_compare_old,
+                       DIN                                     => DIN_out_data_compare_old,
+                       DIN_ready               => DIN_out_ready_compare_old,
+                       DIN_type                => DIN_out_type_compare_old,
+                       DOUT                            => DIN_MemCurr_data,
+                       DOUT_ready      => DIN_MemCurr_ready,
+                       DOUT_type               => DIN_MemCurr_type,
+                       FPGA_out                => FPGA_out_Memory,
+                       CHNL_out                => CHNL_out_Memory,
+                       Do_Cal_out      => Do_Cal_Memory,
+                       new_data                => new_data_Memory
+               );
+
+       Cal_Limits : entity work.Cal_Limits_v2
+               generic map(
+                       cal_Limit_gen   => "00000010011100010000" -- 10.000
+               )
+               port map(
+                       CLK                                                     => CLK,
+                       cal_Limit_reg           => Cal_Limit_reg,
+                       DIN                                                     => DIN_MemCurr_data,
+                       DIN_ready                               => DIN_MemCurr_ready,
+                       DIN_type                                => DIN_MemCurr_type,
+                       min_curr_in                     => min_curr,
+                       max_curr_in                     => max_curr,
+                       min_next_in                     => min_next,
+                       max_next_in                     => max_next,
+                       FPGA                                            => FPGA_out_Memory,
+                       FPGA_next                               => FPGA_out_compare_old,
+                       chnl                                            => CHNL_out_Memory,
+                       chnl_next                               => CHNL_out_compare_old,
+                       Do_Cal_in                               => Do_Cal_Memory,
+                       chnl_cnt_in                     => cal_cnt_out,
+                       new_data                                => new_data_Memory,
+                       write_curr                      => write_curr,
+                       write_next                      => write_next,
+                       min_next                                => min_next_Lim,
+                       max_next                                => max_next_Lim,
+                       min_curr                                => min_curr_Lim,
+                       max_curr                                => max_curr_Lim,
+                       min_out                                 => min_out_Lim,
+                       max_out                                 => max_out_Lim,
+                       Delta                                           => Delta_Lim,
+                       FPGA_out                                => FPGA_Lim,
+                       chnl_out                                => chnl_Lim,
+                       DOUT                                            => DIN_o_Lim,
+                       DOUT_ready                      => DIN_o_Lim_ready,
+                       DOUT_type                               => DIN_o_Lim_type,
+                       Do_Cal_out                      => do_cal_LIM,
+                       chnl_cnt_out            => cal_cnt_in,
+                       write_chnl_cnt  => write_chnl_cnt,
+                       chnl_out_write  => chnl_out_write,
+                       FPGA_out_write  => FPGA_out_write,
+                       FPGA_out_curr   => FPGA_out_curr,
+                       chnl_out_curr           => chnl_out_curr,
+                       cal_Limit_set           => cal_Limit_set,
+                       BUS_Flash_value => BUS_Flash_value,
+                       Flash_flag                      => Flash_flag
+               );
+    
+       LUTs : entity work.LUT
+               port map(
+                       CLK                                                     => CLK,
+                       DIN                                                     => DIN_o_Lim,
+                       DIN_ready                               => DIN_o_Lim_ready,
+                       DIN_type                                => DIN_o_Lim_type,
+                       Delta                                           => Delta_Lim,
+                       min_in                                  => min_out_Lim,
+                       max_in                                  => max_out_Lim,
+                       do_cal_in                               => do_cal_LIM,
+                       min_out                                 => min_out_LUT,
+                       max_out                                 => max_out_LUT,
+                       DOUT                                            => DIN_o_LUT,
+                       DOUT_ready                      => DIN_o_LUT_ready,
+                       DOUT_type                               => DIN_o_LUT_type,
+                       slope                                           => slope_LUT,
+                       do_cal_out                      => cal_flag_LUT_out,
+                       factor                                  => factor,
+                       overshoot                               => overshoot_LUT,
+                       undershoot                      => undershoot_LUT
+               );
+    
+       Calc_Output : entity work.calc_output
+               port map(
+                       CLK                                                     => CLK,
+                       DIN                                                     => DIN_o_LUT,
+                       DIN_ready                               => DIN_o_LUT_ready,
+                       DIN_type                                => DIN_o_LUT_type,
+                       do_cal_in                               => cal_flag_LUT_out,
+                       overshoot_in            => overshoot_LUT,
+                       undershoot_in           => undershoot_LUT,
+                       slope                                           => slope_LUT,
+                       factor                                  => factor,
+                       DOUT                                            => DIN_o_CalcOut,
+                       DOUT_ready                      => DIN_o_CalcOut_ready,
+                       DOUT_type                               => DIN_o_CalcOut_type,
+                       do_cal_out                      => Do_cal_CalcOut,
+                       overshoot_out           => overshoot_CalcOut,
+                       undershoot_out  => undershoot_CalcOut,
+                       Cal_Data_out            => Dout_int
+               );
+    
+
+       DIN_i_Mem                               <= DIN;
+       DIN_i_Mem_ready <= DIN_READY;
+       DIN_i_Mem_type  <= DIN_TYPE;
+  
+       fine_out : process (CLK, Do_cal_CalcOut, slope_LUT, factor)
+       begin  
+       if rising_edge(CLK) then
+
+               if ((Do_cal_CalcOut = '1') and (BUS_do_Cal = '1')) then
+                       DOUT(31 downto 22)      <= DIN_o_CalcOut(31 downto 22);
+                       DOUT(11 downto  0)      <= DIN_o_CalcOut(11 downto  0);
+                       if ((overshoot_CalcOut = '0') and (undershoot_CalcOut = '0')) then
+                               DOUT(21 downto 12) <= Dout_int(19 downto 10);
+                       elsif (undershoot_CalcOut = '1') and (overshoot_CalcOut = '0') then
+                               DOUT(21 downto 12) <= "1111110010";  --1010
+                       elsif (undershoot_CalcOut = '0') and (overshoot_CalcOut = '1') then
+                               DOUT(21 downto 12) <= "1111110111";  --1015
+                       else
+                               DOUT(21 downto 12) <= "1111111100";  --1020
+                       end if;
+               else
+                       DOUT                    <= DIN_o_CalcOut;
+               end if;
+    
+               DOUT_READY      <= DIN_o_CalcOut_ready;
+               DOUT_TYPE               <= DIN_o_CalcOut_type;
+       end if;
+       end process;
+  
+  
+       debug : process (CLK)
+       begin 
+               if rising_edge(CLK) then
+                       if unsigned(Bus_Chnl) = unsigned(chnl_Lim) then
+                               Bus_min <= min_out_Lim;
+                               Bus_max <= max_out_Lim;
+                       end if;
+               end if;
+       end process;
+
+  
+       TX_statusbits : process (CLK)
+       begin
+       if rising_edge(CLK) then
+               if (DIN_READY = '1') then
+                       DOUT_STAT <= DIN_STAT; --ToDO: better handling
+               end if;
+       end if;
+       end process;
+  
+end Behavioral;
diff --git a/combiner_calib/code/Delta.vhd b/combiner_calib/code/Delta.vhd
new file mode 100644 (file)
index 0000000..49bb5f7
--- /dev/null
@@ -0,0 +1,43 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 08.01.2017 15:55:47
+-- Design Name: 
+-- Module Name: Delta - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+--use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx primitives in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity Delta is
+    Port ( );
+end Delta;
+
+architecture Behavioral of Delta is
+
+begin
+
+
+end Behavioral;
diff --git a/combiner_calib/code/LUT.vhd b/combiner_calib/code/LUT.vhd
new file mode 100644 (file)
index 0000000..b32bbf0
--- /dev/null
@@ -0,0 +1,113 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+library work;
+use work.trb_net_std.all;
+
+entity LUT is
+       port (
+               CLK                                     : in  std_logic;
+               DIN                                     : in  std_logic_vector(31 downto 0);
+               DIN_ready               : in  std_logic;
+               DIN_type          : in  std_logic_vector( 3 downto 0);
+               Delta                           : in  std_logic_vector( 9 downto 0):="0110110100";
+               min_in                  : in  std_logic_vector( 9 downto 0);
+               max_in                  : in  std_logic_vector( 9 downto 0);
+               do_cal_in               : in  std_logic;
+               min_out                 : out std_logic_vector( 9 downto 0);
+               max_out                 : out std_logic_vector( 9 downto 0);
+               DOUT                            : out std_logic_vector(31 downto 0);
+               DOUT_ready      : out std_logic;
+               DOUT_type       : out std_logic_vector( 3 downto 0);
+               slope                           : out std_logic_vector(11 downto 0);
+               do_cal_out      : out std_logic;
+               factor                  : out std_logic_vector( 9 downto 0);
+               overshoot               : out std_logic := '0';
+               undershoot      : out std_logic := '0'
+       );
+end entity;
+
+architecture lut of LUT is
+               subtype lutin is std_logic_vector (11 downto 0);
+               subtype lutout is std_logic_vector (11 downto 0);
+               type lut is array (natural range 436 to 563) of lutout;
+
+               constant LUTslope:   lut := (
+                                                       "100100101101", "100100100111", "100100100010", "100100011101", 
+                                                       "100100010111", "100100010010", "100100001101", "100100001000", 
+                                                       "100100000010", "100011111101", "100011111000", "100011110011", 
+                                                       "100011101110", "100011101001", "100011100100", "100011011111", 
+                                                       "100011011001", "100011010100", "100011010000", "100011001011", 
+                                                       "100011000110", "100011000001", "100010111100", "100010110111", 
+                                                       "100010110010", "100010101101", "100010101000", "100010100100", 
+                                                       "100010011111", "100010011010", "100010010101", "100010010001", 
+                                                       "100010001100", "100010000111", "100010000011", "100001111110", 
+                                                       "100001111001", "100001110101", "100001110000", "100001101100", 
+                                                       "100001100111", "100001100011", "100001011110", "100001011010", 
+                                                       "100001010101", "100001010001", "100001001100", "100001001000", 
+                                                       "100001000100", "100000111111", "100000111011", "100000110111", 
+                                                       "100000110010", "100000101110", "100000101010", "100000100110", 
+                                                       "100000100001", "100000011101", "100000011001", "100000010101", 
+                                                       "100000010001", "100000001100", "100000001000", "100000000100", 
+                                                       "100000000000", "011111111100", "011111111000", "011111110100", 
+                                                       "011111110000", "011111101100", "011111101000", "011111100100", 
+                                                       "011111100000", "011111011100", "011111011000", "011111010100", 
+                                                       "011111010000", "011111001100", "011111001000", "011111000100", 
+                                                       "011111000000", "011110111101", "011110111001", "011110110101", 
+                                                       "011110110001", "011110101101", "011110101010", "011110100110", 
+                                                       "011110100010", "011110011110", "011110011011", "011110010111", 
+                                                       "011110010011", "011110010000", "011110001100", "011110001000", 
+                                                       "011110000101", "011110000001", "011101111110", "011101111010", 
+                                                       "011101110110", "011101110011", "011101101111", "011101101100", 
+                                                       "011101101000", "011101100101", "011101100001", "011101011110", 
+                                                       "011101011010", "011101010111", "011101010011", "011101010000", 
+                                                       "011101001101", "011101001001", "011101000110", "011101000010", 
+                                                       "011100111111", "011100111100", "011100111000", "011100110101", 
+                                                       "011100110010", "011100101110", "011100101011", "011100101000", 
+                                                       "011100100101", "011100100001", "011100011110", "011100011011"
+               );
+        
+               signal do_cal_out_i : std_logic := '0';
+    
+begin
+
+
+       proc_slope : process (CLK)
+       begin
+       if rising_edge(CLK) then
+               if do_cal_in = '1' then
+                       slope                           <= LUTslope( TO_INTEGER ( unsigned(Delta)));
+               end if;
+               min_out                         <= min_in;
+               max_out                         <= max_in;
+               do_cal_out_i    <= do_cal_in;
+       end if;
+       end process;
+  
+       proc_factor : process (CLK)
+       begin
+       if rising_edge(CLK) then
+       --keep values in definition area of linear part
+               if (unsigned(DIN(21 downto 12)) < unsigned(min_in) ) then
+                       factor     <= (others => '0');
+                       undershoot <= '1'; -- value is out of calibration range
+                       overshoot  <= '0';
+               elsif (unsigned(DIN(21 downto 12)) > unsigned(max_in) ) then
+                       factor     <= (others => '0');
+                       overshoot  <= '1'; -- value is out of calibration range
+                       undershoot <= '0';
+               else
+                       factor     <= std_logic_vector(unsigned(DIN(21 downto 12)) - unsigned(min_in));
+                       undershoot <= '0';
+                       overshoot  <= '0';
+               end if;
+
+               DOUT                            <= DIN;
+               DOUT_ready      <= DIN_ready;
+               DOUT_type               <= DIN_type;
+       end if;
+       end process; 
+  
+       do_cal_out <= do_cal_out_i;
+
+end architecture;
\ No newline at end of file
diff --git a/combiner_calib/code/Memory.vhd b/combiner_calib/code/Memory.vhd
new file mode 100644 (file)
index 0000000..64a2be2
--- /dev/null
@@ -0,0 +1,52 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity Memory is
+       Port (
+               CLK                                     : in  std_logic;
+               read                            : in  std_logic;
+               write                           : in  std_logic;
+               FPGA_read               : in  std_logic_vector( 3 downto 0);
+               chnl_read               : in  std_logic_vector( 6 downto 0);
+               FPGA_write      : in  std_logic_vector( 3 downto 0);
+               chnl_write      : in  std_logic_vector( 6 downto 0);
+               Min                                     : in  std_logic_vector( 9 downto 0);
+               Max                                     : in  std_logic_vector( 9 downto 0);
+               Do_Cal_in               : in  std_logic;
+               DIN                                     : in  std_logic_vector(31 downto 0);
+               DIN_ready               : in  std_logic;
+               DIN_type                : in  std_logic_vector( 3 downto 0);
+               Min_out                 : out std_logic_vector( 9 downto 0):= "1111111111";
+               Max_out                 : out std_logic_vector( 9 downto 0):= "0000000000"
+    );
+end Memory;
+
+architecture Behavioral of Memory is
+
+       type array2D is array (15 downto 0, 63 downto 0) of std_logic_vector(9 downto 0); --(FPGA)(channel)
+       signal Max_Bin_i : array2D := (others => (others => ("0000000000")));
+       signal Min_Bin_i : array2D := (others => (others => ("1111111101")));
+  
+begin
+
+       mem : process (CLK,read,write)
+       begin
+       if rising_edge(CLK) then      
+               if (read = '1' and Do_Cal_in = '1') then --read
+                       if (FPGA_read = FPGA_write) and (chnl_read = chnl_write) then
+                               Max_out <= Max;
+                               Min_out <= Min;
+                       else
+                               Max_out <= Max_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
+                               Min_out <= Min_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
+                       end if;  
+               end if;   
+               if (write = '1') then --write
+                       Max_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Max;
+                       Min_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Min;
+               end if;
+       end if;
+       end process;
+  
+end Behavioral;
diff --git a/combiner_calib/code/Memory_curr.vhd b/combiner_calib/code/Memory_curr.vhd
new file mode 100644 (file)
index 0000000..6c6fdde
--- /dev/null
@@ -0,0 +1,67 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity Memory_curr is
+       Port (
+               CLK                                     : in  std_logic;
+               read                            : in  std_logic;
+               write                           : in  std_logic;
+               FPGA_read               : in  std_logic_vector( 3 downto 0);
+               chnl_read               : in  std_logic_vector( 6 downto 0);
+               FPGA_write      : in  std_logic_vector( 3 downto 0);
+               chnl_write      : in  std_logic_vector( 6 downto 0);
+               Min                                     : in  std_logic_vector( 9 downto 0);
+               Max                                     : in  std_logic_vector( 9 downto 0);
+               Do_Cal_in               : in  std_logic;
+               DIN                                     : in  std_logic_vector(31 downto 0);
+               DIN_ready               : in  std_logic;
+               DIN_type                : in  std_logic_vector( 3 downto 0);
+               Min_out                 : out std_logic_vector( 9 downto 0):= "1111111111";
+               Max_out                 : out std_logic_vector( 9 downto 0):= "0000000000";
+               new_data                : out std_logic;
+               DOUT                            : out std_logic_vector(31 downto 0);
+               DOUT_ready      : out std_logic;
+               DOUT_type               : out std_logic_vector( 3 downto 0);
+               FPGA_out                : out std_logic_vector( 3 downto 0);
+               CHNL_out                : out std_logic_vector( 6 downto 0);
+               Do_Cal_out      : out std_logic
+       );
+end Memory_curr;
+
+architecture Behavioral of Memory_curr is
+
+       type array2D is array (15 downto 0, 63 downto 0) of std_logic_vector(9 downto 0); --(FPGA)(channel)
+       signal Max_Bin_i : array2D := (others => (others => ("0000000010")));
+       signal Min_Bin_i : array2D := (others => (others => ("1001111110")));
+  
+begin
+
+       mem : process (CLK,read,write)
+       begin
+       if rising_edge(CLK) then       
+               if (read = '1' and Do_Cal_in = '1') then --read
+                       if (FPGA_read = FPGA_write) and (chnl_read = chnl_write) then
+                               Max_out <= Max;
+                               Min_out <= Min;
+                       else
+                               Max_out <= Max_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
+                               Min_out <= Min_Bin_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
+                       end if;
+               end if;   
+               if (write = '1') then --write
+                       Max_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Max;
+                       Min_Bin_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= Min;
+               end if;
+    
+               DOUT                            <= DIN;
+               DOUT_ready      <= DIN_ready;
+               DOUT_type               <= DIN_type;
+               new_data                <= read;
+               FPGA_out                <= FPGA_read;
+               CHNL_out                <= chnl_read;
+               Do_Cal_out      <= Do_Cal_in;  
+       end if;
+       end process;
+  
+end Behavioral;
diff --git a/combiner_calib/code/cnt_val.vhd b/combiner_calib/code/cnt_val.vhd
new file mode 100644 (file)
index 0000000..c79b618
--- /dev/null
@@ -0,0 +1,50 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+
+entity cnt_val is
+       Port (       
+               CLK                                     : in  std_logic;
+               read                            : in  std_logic;
+               write                           : in  std_logic;
+               FPGA_read               : in  std_logic_vector( 3 downto 0);
+               chnl_read               : in  std_logic_vector( 6 downto 0);
+               FPGA_write      : in  std_logic_vector( 3 downto 0);
+               chnl_write      : in  std_logic_vector( 6 downto 0);
+               cal_cnt                 : in  unsigned(19 downto 0);
+               DIN                                     : in  std_logic_vector(31 downto 0);
+               DIN_ready               : in  std_logic;
+               DIN_type                : in  std_logic_vector( 3 downto 0);
+               cal_cnt_out     : out unsigned(19 downto 0);
+               DOUT                            : out std_logic_vector(31 downto 0);
+               DOUT_ready      : out std_logic;
+               DOUT_type               : out std_logic_vector( 3 downto 0)
+       );
+end cnt_val;
+
+architecture Behavioral of cnt_val is
+
+       type unsigned_2D is array (15 downto 0, 63 downto 0) of unsigned (19 downto 0); --(channel)
+       signal cal_cnt_i   : unsigned_2D := (others => (others => "00000000000000000000"));
+  
+begin
+       cnt : process (CLK,read,write)
+       begin
+       if rising_edge(CLK) then
+               if (read = '1') then --read
+                       if (FPGA_read /= FPGA_write) or (chnl_read /= chnl_write) then
+                               cal_cnt_out <= cal_cnt_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));
+                       else
+                               cal_cnt_out <= cal_cnt;-- if channel/fpage is same as 2 inputs before
+                       end if;
+               end if;
+               if (write = '1') then --write
+                       cal_cnt_i(to_integer(unsigned(FPGA_write)),to_integer(unsigned(chnl_write))) <= cal_cnt;
+               end if;
+               DOUT                            <= DIN;
+               DOUT_ready      <= DIN_ready;
+               DOUT_type               <= DIN_type; 
+       end if; 
+end process;
+
+end Behavioral;
diff --git a/combiner_calib/code/compare_old.vhd b/combiner_calib/code/compare_old.vhd
new file mode 100644 (file)
index 0000000..227015a
--- /dev/null
@@ -0,0 +1,58 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library work;
+use work.trb_net_std.all;
+
+entity compare_old is
+               Port (
+                       CLK                                     : in  std_logic;
+                       DIN                                     : in  std_logic_vector(31 downto 0);
+                       DIN_ready       : in  std_logic;
+                       DIN_type                : in  std_logic_vector( 3 downto 0);
+                       FPGA_in                 : in  std_Logic_vector( 3 downto 0);
+                       CHNL_in                 : in  std_logic_vector( 6 downto 0);
+                       Do_Cal_in               : in  std_Logic;
+                       CHNL_out                : out std_logic_vector( 6 downto 0);
+                       FPGA_out                : out std_logic_vector( 3 downto 0);
+                       DOUT                            : out std_logic_vector(31 downto 0);
+                       DOUT_ready      : out std_logic;
+                       DOUT_type               : out std_logic_vector( 3 downto 0);
+                       Do_Cal_out      : out std_logic;
+                       read                            : out std_logic
+               );
+end compare_old;
+
+architecture Behavioral of compare_old is
+
+       signal FPGA_i : std_logic_vector( 3 downto 0):="0001";
+       signal CHNL_i : std_logic_vector( 6 downto 0);
+  
+begin
+
+       rd_cnt : process(CLK)
+       begin
+               if rising_edge(CLK) then  
+                       if (Do_Cal_in = '1') then
+                               if (FPGA_in = FPGA_i) and (CHNL_in = CHNL_i) then  -- same channel and fpga as before, no need to reread
+                                       read     <= '0';
+                                       FPGA_out <= FPGA_i;
+                                       CHNL_out <= CHNL_i;
+                               else                            -- different fpga/channel -> read again!
+                                       read     <= '1';
+                                       FPGA_i   <= FPGA_in;
+                                       CHNL_i   <= CHNL_in;
+                                       FPGA_out <= FPGA_in;
+                                       CHNL_out <= CHNL_in;
+                               end if;
+                       else
+                               read        <= '0';
+                       end if;
+                       --loop through entity
+                               DOUT                            <= DIN;
+                               DOUT_ready      <= DIN_ready;
+                               DOUT_type               <= DIN_type;
+                               Do_Cal_out      <= Do_Cal_in;
+               end if;
+       end process;
+
+end Behavioral;
diff --git a/combiner_calib/code/default_val.vhd b/combiner_calib/code/default_val.vhd
new file mode 100644 (file)
index 0000000..7f416de
--- /dev/null
@@ -0,0 +1,35 @@
+library IEEE;\r
+use IEEE.STD_LOGIC_1164.ALL;\r
+use IEEE.NUMERIC_STD.ALL;\r
+\r
+entity dflt_val is\r
+       Port (       \r
+               CLK        : in  std_logic;\r
+               read       : in  std_logic;\r
+               FPGA_read  : in  std_logic_vector(3 downto 0);\r
+               chnl_read  : in  std_logic_vector(6 downto 0);\r
+               dflt_out   : out std_logic\r
+       );\r
+end dflt_val;\r
+\r
+architecture Behavioral of dflt_val is\r
+\r
+       type bit_2D is array (15 downto 0, 63 downto 0) of std_logic; --(channel)\r
+       signal dflt_i   : bit_2D := (others => (others => '1'));\r
+       signal start    : std_logic := '1';\r
+  \r
+begin\r
+\r
+       dflt_cnt : process (CLK)\r
+       begin\r
+       if rising_edge(CLK) then\r
+               if (read = '1') then --read\r
+                       dflt_out  <= dflt_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read)));\r
+                       dflt_i(to_integer(unsigned(FPGA_read)),to_integer(unsigned(chnl_read))) <= '0';\r
+               else\r
+                       dflt_out  <= '0';\r
+               end if;\r
+       end if;\r
+       end process;\r
+       \r
+end Behavioral;\r
diff --git a/combiner_calib/code/file_output.vhd b/combiner_calib/code/file_output.vhd
new file mode 100644 (file)
index 0000000..faf379c
--- /dev/null
@@ -0,0 +1,32 @@
+        library ieee;
+use ieee.std_logic_1164.all;
+
+use std.textio.all;
+use work.txt_util.all;
+
+entity file_output is
+  generic (
+           log_file:       string  := "res.log"
+          );
+  port(
+       CLK              : in std_logic;
+       x1               : in std_logic_vector(31 downto 0);
+       x2               : in std_logic_vector(31 downto 0)
+      );
+end file_output;
+
+
+architecture log_to_file of file_output is
+
+      file l_file: TEXT open write_mode is log_file;
+
+begin
+
+write : process (CLK)
+begin
+   if rising_edge(CLK) then
+print(l_file, str(x1)& " "& str(x2));
+   end if;
+end process;
+
+end log_to_file;
diff --git a/combiner_calib/code/input_env.vhd b/combiner_calib/code/input_env.vhd
new file mode 100644 (file)
index 0000000..53e0aae
--- /dev/null
@@ -0,0 +1,56 @@
+library ieee;\r
+use ieee.std_logic_1164.all;\r
+use ieee.numeric_std.all;\r
+use std.textio.all;\r
+\r
+entity input_env is\r
+port (\r
+    CLK : in std_logic;\r
+   -- WEN : in std_logic;\r
+   -- REN : in std_logic;\r
+   -- WADD : in std_logic_vector(8 downto 0);\r
+   -- RADD : in std_logic_vector(8 downto 0);\r
+   -- DIN : in std_logic_vector(639 downto 0);\r
+    DOUT : out std_logic_vector(31 downto 0)\r
+);\r
+end input_env;\r
+\r
+architecture behavioral of input_env is\r
+    type input_type is array (0 to 5) of std_logic_vector (31 downto 0);\r
+    \r
+    impure function init_input (data_file_name : in string) return\r
+        input_type is\r
+            file data_file : text is in data_file_name;\r
+            variable data_line : line;\r
+            variable bit_word : bit_vector(31 downto 0);\r
+            variable input_i : input_type;\r
+    begin\r
+        for nline in input_type'range loop\r
+            readline(data_file, data_line);\r
+            read(data_line, bit_word);\r
+            input_i(nline) := to_stdlogicvector(bit_word);\r
+        end loop;\r
+        return input_i;\r
+    end function;\r
+\r
+    signal input : input_type := init_input("C:\Users\adria\Desktop\TRB\bits.dat");\r
+   \r
+begin\r
+    process (CLK)\r
+    variable position : integer range 0 to 200 := 0;\r
+    begin\r
+      if (CLK'event and CLK = '1') then\r
+        --if WEN = '1' then\r
+        --   input(to_integer(unsigned(WADD))) <= DIN;\r
+        --end if;\r
+        --if REN = '1' then\r
+        if position > 5 then\r
+            DOUT <= "00000000000000000000000000000000";\r
+        else \r
+            DOUT <= input(position);\r
+        end if;\r
+            position := position + 1;\r
+        --end if;\r
+      end if;\r
+    end process;\r
+end behavioral;
\ No newline at end of file
diff --git a/combiner_calib/code/read_Memory.vhd b/combiner_calib/code/read_Memory.vhd
new file mode 100644 (file)
index 0000000..c8983bc
--- /dev/null
@@ -0,0 +1,116 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.NUMERIC_STD.ALL;
+library work;
+use work.trb_net_std.all;
+
+entity read_Memory is
+    generic (
+       calibration_value_max : unsigned(19 downto 0):="11111111111111111111"
+    );
+    Port ( 
+        CLK             : in  std_logic;
+        DIN             : in  std_logic_vector(31 downto 0);
+        DIN_b_r                : in  std_logic;
+        DIN_data_w     : in  std_logic;
+        DIN_data_f     : in  std_logic;
+        FPGA_in         : in  std_logic_vector( 3 downto 0);
+        chnl_in         : in  std_logic_vector(6 downto 0);
+        cal_cnt         : in  unsigned(19 downto 0);
+        dflt_cnt        : in  std_logic;
+        write_cal_cnt   : out std_logic;
+        write_dflt_cnt  : out std_logic;
+        cal_cnt_out     : out unsigned(19 downto 0);
+        dflt_cnt_out    : out std_logic;
+        read_next       : out std_logic;
+        read_curr       : out std_logic;
+        Cal_chng_flag   : out std_logic;
+        do_cal          : out std_logic;
+        FPGA            : out std_logic_vector( 3 downto 0);
+        chnl            : out std_logic_vector( 6 downto 0);
+        DIN_out         : out std_logic_vector(31 downto 0);
+        DIN_out_b_r     : out std_logic;
+        DIN_out_data_w  : out std_logic;
+        DIN_out_data_f  : out std_logic;
+        Default_val     : out std_logic--;
+        --cal_cnt_dbug    : out unsigned(19 downto 0)
+    );
+end read_Memory;
+
+architecture Behavioral of read_Memory is
+ -- type unsigned_2D is array (3 downto 0,6 downto 0) of unsigned (7 downto 0); --(channel)
+  --type bit_2D is array (3 downto 0,6 downto 0) of std_logic; --(channel)
+  --signal cal_cnt   : unsigned_2D := (others => (others => "00000000"));
+  --signal FPGA_i    : std_logic_vector(3 downto 0);
+  --signal Default_val_i : bit_2D := (others => (others => '1'));
+  signal dflt_cnt_last : std_logic;
+begin
+
+   Start_Calib : process (CLK)
+   begin
+     if rising_edge(CLK) then
+         if DIN(31) = '1' then
+            dflt_cnt_last <= dflt_cnt;
+            --Do  Calibration
+            do_cal <= '1';
+            
+            if cal_cnt = to_unsigned(0,20) then
+                --lese Wert aus Mem_next
+                if dflt_cnt = '1' then
+                    Cal_chng_flag <= '0';
+                    read_curr <= '0';
+                    write_dflt_cnt <= '1'; -- gebe dflt_cnt auch die neue /alte adresse (FPGA und channel)
+                    dflt_cnt_out <= '0';
+                    Default_val <='1';
+                else
+                  if dflt_cnt_last = '0' then
+                    write_dflt_cnt <= '0';
+                    Cal_chng_flag <= '1';
+                  end if;
+                    Default_val <='0';
+                    read_curr <= '1';
+                end if;
+                read_next <= '1';
+                cal_cnt_out <= cal_cnt + 1 ;
+            elsif cal_cnt < calibration_value_max then
+                Default_val <='0';
+                Cal_chng_flag <= '0';
+                read_next <= '1';
+                read_curr <= '1';
+                cal_cnt_out <= cal_cnt + 1 ;
+                write_dflt_cnt <= '0';
+            else
+                Default_val <= '0';
+                Cal_chng_flag <= '0';
+                read_next <= '1';
+                read_curr <= '1';
+                cal_cnt_out <= to_unsigned(0,20);--(others => '0');--"00000000000000000000";
+                write_dflt_cnt <= '1';
+                dflt_cnt_out <= '0';
+            end if;
+            write_cal_cnt <= '1';
+            chnl <= DIN(28 downto 22);
+            
+         else -- DIN(31) = '0' -> no TDC
+             write_dflt_cnt <= '0';
+             do_cal  <= '0';
+             read_next <= '0';
+             read_curr <= '0';
+             --FPGA_i  <= DIN( 3 downto 0);
+             write_cal_cnt <= '0';    
+             Default_val <='0';  
+             Cal_chng_flag <= '0';
+         end if;--DIN(31)
+         
+         DIN_out        <= DIN;
+         DIN_out_b_r    <= DIN_b_r;
+         DIN_out_data_w         <= DIN_data_w;
+         DIN_out_data_f  <= DIN_data_f;
+         
+         FPGA <= FPGA_in;
+     end if; --rising_edge
+   end process;
+   
+   
+ --FPGA <= FPGA_i;
+end Behavioral;
diff --git a/combiner_calib/code/read_cnt.vhd b/combiner_calib/code/read_cnt.vhd
new file mode 100644 (file)
index 0000000..dc3536f
--- /dev/null
@@ -0,0 +1,47 @@
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library work;
+use work.trb_net_std.all;
+
+entity read_cnt is
+    Port ( CLK                                 : in  std_logic;
+           DIN                                 : in  std_logic_vector(31 downto 0);
+           DIN_ready           : in  std_logic;
+           DIN_type            : in  std_logic_vector( 3 downto 0);
+           chnl                                        : out std_logic_vector( 6 downto 0);
+           FPGA_out                    : out std_logic_vector( 3 downto 0);
+           DOUT                                        : out std_logic_vector(31 downto 0);
+           DOUT_ready          : out std_logic;
+           DOUT_type           : out std_logic_vector( 3 downto 0);
+           Do_Cal                              : out std_logic
+     );
+end read_cnt;
+
+architecture Behavioral of read_cnt is
+
+  signal FPGA_i : std_logic_vector( 3 downto 0);
+  
+begin
+
+  rd_cnt : process(CLK)
+  begin
+    if rising_edge(CLK) then
+      if (DIN(31) = '1') and (DIN_type = x"4")then
+        chnl           <= DIN(28 downto 22);
+        FPGA_out       <= FPGA_i;
+        if DIN(21 downto 12) = "1111111111" then --3FF
+          Do_Cal <= '0';
+        else
+          Do_Cal <= '1';
+        end if;
+      else
+        FPGA_i           <= "0000"; --ToDO
+        Do_Cal   <= '0';
+      end if;
+      DOUT                             <= DIN;
+      DOUT_ready       <= DIN_ready;
+      DOUT_type                <= DIN_type;
+    end if;
+  end process;
+
+end Behavioral;
diff --git a/combiner_calib/code/sim_tb.vhd b/combiner_calib/code/sim_tb.vhd
new file mode 100644 (file)
index 0000000..47b0fae
--- /dev/null
@@ -0,0 +1,199 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 05.01.2017 14:31:03
+-- Design Name: 
+-- Module Name: sim_tb - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+library work;
+use work.trb_net_std.all;
+-- Uncomment the following library declaration if using
+-- arithmetic functions with Signed or Unsigned values
+use IEEE.NUMERIC_STD.ALL;
+
+-- Uncomment the following library declaration if instantiating
+-- any Xilinx primitives in this code.
+--library UNISIM;
+--use UNISIM.VComponents.all;
+
+entity sim_tb is
+end sim_tb;
+
+architecture Behavioral of sim_tb is
+signal CLK ,Flag_Lim, Flag_LUT: std_logic := '0';
+signal DIN_i, DOUT_i : READOUT_TX;
+signal DIN_out_end: std_logic_vector(31 downto 0) := (others => '0');
+signal Fine, Delta, min_Cal : std_logic_vector(9 downto 0);
+signal DIN_out_Lim, DIN_out_LUT : std_logic_vector(31 downto 0);
+signal cal_cntread_compare_old : unsigned(19 downto 0);
+signal min_curr_dbg, max_curr_dbg, min_next_dbg, max_next_dbg : std_logic_vector(9 downto 0);
+signal read_next_dbg,write_next_dbg,Default_val_dbg,write_curr_dbg : std_logic;
+signal FPGA_dbg : std_logic_vector(3 downto 0);
+signal wrt_cal_cnt_dbg,write_dflt_cnt_dbg : std_logic;
+signal cal_cnt : unsigned(19 downto 0);
+--signal cal_cng_dbg, Dflt_cnt_dbg : std_logic;
+
+constant CLK_PERIOD : time := 20 ns;
+
+signal BUS_RX : CTRLBUS_RX;
+
+begin
+--Input : entity work.input_env
+--    port map(
+--        CLK => CLK,
+--        DOUT => DIN_i
+--    ); 
+
+   Calibration : entity work.Calibration
+    port map(
+        CLK  => CLK,
+        DIN  => DIN_i,
+        DOUT => DOUT_i,
+        BUS_RX  => BUS_RX,
+        BUS_TX  => open
+        --Temp => temp,
+--         Fine => Fine,
+--         Delta => Delta,
+--         Min_Cal => min_Cal,
+--         Flag_Lim => Flag_Lim,
+--         Flag_LUT => Flag_LUT,
+--         DIN_out_Lim => DIN_out_Lim,
+--         DIN_out_LUT => DIN_out_LUT,
+--         cal_cnt_dbug => cal_cnt,
+--         min_next_dbg => min_next_dbg,
+--         max_next_dbg => max_next_dbg,
+--         min_curr_dbg => min_curr_dbg,
+--         max_curr_dbg => max_curr_dbg,
+--         --cal_cng_dbg => cal_cng_dbg,
+--         read_next_dbg => read_next_dbg,
+--         write_next_dbg => write_next_dbg,
+--         FPGA_dbg => FPGA_dbg,
+--         DIN_out_end => DIN_out_end,
+--         --Dflt_cnt_dbg => Dflt_cnt_dbg,
+--         Default_val_dbg => Default_val_dbg,
+--         write_curr_dbg => write_curr_dbg,
+--         wrt_cal_cnt_dbg => wrt_cal_cnt_dbg,
+--         write_dflt_cnt_dbg => write_dflt_cnt_dbg
+    ); 
+    
+    write : entity work.file_output
+       port map(
+            CLK => CLK,
+            x1 => DIN_out_end,
+            x2 => DOUT_i.data
+       ); 
+    
+
+  CLK_PROC : process is
+  begin
+     CLK <= '1';
+     wait for CLK_PERIOD / 2;
+     CLK <= '0';
+     wait for CLK_PERIOD / 2;
+  end process;
+  
+  
+  proc_Cal : process is
+    begin
+      wait for 5 ns;
+      DIN_i.statusbits <= "00000000000000000000000000000001";
+      DIN_i.data <= "00000000000000000000000000000001";
+      DIN_i.data_write    <= '1';
+      DIN_i.data_finished <= '0';
+      DIN_i.busy_release  <= '1';
+      wait for 20 ns;
+      DIN_i.data <= "10000000000000100001000000000000"; --33
+      --wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000010";
+      wait for 20 ns;
+      DIN_i.data <= "10000000000000100111000000000001"; --39
+      --wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      wait for 20 ns;
+      DIN_i.data <= "10000000000001000010000000000010"; --66
+      --wait for 20 ns;
+      --DIN_i <= "00000000000000000000000000000000";
+      --wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000010";
+      wait for 20 ns;
+      DIN_i.data <= "10000001100000000011000000000011"; --3
+      wait for 20 ns;
+
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000000001000001100000000000001"; --70
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000010";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000000100000000000100";--4
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      --DIN_i.data <= "10000000000111000000000000000000";
+      --wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000000001000000000001";--1
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000000010000000000010";--2
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001101000001010000000000011";--3
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000001010000000000100";--4
+      wait for 20 ns;
+      DIN_i.data <= "10000001100000001110000000000101";--5
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000000000000000110000000000110";--6
+      wait for 20 ns;
+      DIN_i.data <= "10000000000000000111000000000111";--7
+      wait for 20 ns;
+      DIN_i.data <= "10000000000000001000000000000111";--8
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000010";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000001001000000000111";--9
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000000000000001010000000000111";--10
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000010";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000001011000000000111";--11
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000001";
+      --wait for 20 ns;
+      DIN_i.data <= "10000000000000001100000000000111";--12
+      wait for 20 ns;
+      --DIN_i.data <= "00000000000000000000000000000010";
+      --wait for 20 ns;
+      DIN_i.data <= "10000001100000001101000000000111";--13
+      wait for 20 ns;
+    end process;
+
+
+end Behavioral;
\ No newline at end of file
diff --git a/combiner_calib/code/transcript b/combiner_calib/code/transcript
new file mode 100644 (file)
index 0000000..37ed869
--- /dev/null
@@ -0,0 +1,22524 @@
+# //  Questa Sim
+# //  Version 10.2c_5 linux Nov 19 2013
+# //
+# //  Copyright 1991-2013 Mentor Graphics Corporation
+# //  All Rights Reserved.
+# //
+# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
+# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
+# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+# //
+vlib work
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(25): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(25): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(27): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# ** Error: (vcom-11) Could not find work.txt_util.
+# ** Error: /home/adrian/TDC_v4/file_output.vhd(5): (vcom-1195) Cannot find expanded name "work.txt_util".
+# ** Error: /home/adrian/TDC_v4/file_output.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/file_output.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity input_env
+# -- Compiling architecture behavioral of input_env
+# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/LUT.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/LUT.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/LUT.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(4): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(4): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(6): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(25): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(25): Unknown expanded name.
+# -- Loading package NUMERIC_STD
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(35): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Compiling package trb_net_std
+# -- Compiling package body trb_net_std
+# -- Loading package trb_net_std
+vcom -reportprogress 30 -work work /home/adrian/TDC_v4/txt_util.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling package txt_util
+# -- Compiling package body txt_util
+# -- Loading package txt_util
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# ** Error: (vcom-11) Could not find work.calibration.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(58): (vcom-1195) Cannot find expanded name "work.Calibration".
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(58): Unknown expanded name.
+# ** Error: (vcom-11) Could not find work.file_output.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(86): (vcom-1195) Cannot find expanded name "work.file_output".
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(86): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(153): VHDL Compiler exiting
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb 
+# ** Note: (vsim-3812) Design is being optimized...
+# ** Error: Failed to find design unit work.sim_tb.
+# Optimization failed
+# Error loading design
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# ** Error: (vcom-11) Could not find work.read_cnt.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(161): (vcom-1195) Cannot find expanded name "work.read_cnt".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(161): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: (vcom-11) Could not find work.read_memory.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(206): (vcom-1195) Cannot find expanded name "work.read_Memory".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(206): Unknown expanded name.
+# -- Loading entity Memory
+# ** Error: (vcom-11) Could not find work.cal_limits.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(273): (vcom-1195) Cannot find expanded name "work.Cal_Limits".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(273): Unknown expanded name.
+# ** Error: (vcom-11) Could not find work.lut.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): (vcom-1195) Cannot find expanded name "work.LUT".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(400): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Compiling package trb_net_std
+# -- Compiling package body trb_net_std
+# -- Loading package trb_net_std
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/txt_util.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling package txt_util
+# -- Compiling package body txt_util
+# -- Loading package txt_util
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity input_env
+# -- Compiling architecture behavioral of input_env
+# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package txt_util
+# -- Compiling entity file_output
+# -- Compiling architecture log_to_file of file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: (vcom-11) Could not find work.lut.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): (vcom-1195) Cannot find expanded name "work.LUT".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(400): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb 
+# ** Note: (vsim-3812) Design is being optimized...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+add wave  \
+sim:/sim_tb/Flag_Lim
+add wave -position insertpoint  \
+sim:/sim_tb/DIN_i
+restart
+add wave -position insertpoint  \
+sim:/sim_tb/DOUT_i
+add wave -position insertpoint  \
+sim:/sim_tb/DIN_out_end
+add wave -position insertpoint  \
+sim:/sim_tb/Fine
+restart
+add wave -position insertpoint  \
+sim:/sim_tb/DIN_i.data
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb 
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+add wave -position insertpoint  \
+sim:/sim_tb/CLK
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb 
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.sim_tb(behavioral)#1
+add wave -position insertpoint  \
+sim:/sim_tb/CLK
+restart
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.sim_tb(behavioral)#1
+run
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+add wave -position end  sim:/sim_tb/CLK
+add wave -position end  sim:/sim_tb/DIN_i
+add wave -position end  sim:/sim_tb/DOUT_i
+add wave -position end  sim:/sim_tb/DIN_out_end
+add wave -position end  sim:/sim_tb/Fine
+add wave -position end  sim:/sim_tb/Delta
+add wave -position end  sim:/sim_tb/min_Cal
+run
+run
+run
+run
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+add wave -position 1  sim:/sim_tb/DIN_i.data
+add wave -position 2  sim:/sim_tb/DOUT_i.data
+add wave -position end  sim:/sim_tb/cal_cnt
+add wave -position end  sim:/sim_tb/min_curr_dbg
+add wave -position end  sim:/sim_tb/max_curr_dbg
+add wave -position end  sim:/sim_tb/min_next_dbg
+add wave -position end  sim:/sim_tb/max_next_dbg
+add wave -position end  sim:/sim_tb/cal_cng_dbg
+add wave -position end  sim:/sim_tb/read_next_dbg
+add wave -position end  sim:/sim_tb/write_next_dbg
+add wave -position end  sim:/sim_tb/Dflt_cnt_dbg
+add wave -position end  sim:/sim_tb/Default_val_dbg
+add wave -position end  sim:/sim_tb/write_curr_dbg
+add wave -position end  sim:/sim_tb/FPGA_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+run
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 620 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 620 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 620 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(59): near "begin": expecting STRING or IDENTIFIER or << or '('
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(63): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(64): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): Target type (error) in variable assignment is different from expression type ieee.NUMERIC_STD.UNRESOLVED_UNSIGNED.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Bad expression in right operand of infix expression "and".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(84): near "process": expecting ';'
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(82): near "if": expecting PROCESS
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(102): Cannot drive signal 'cal_cnt' of mode IN.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(102): Enumeration literal '0' is not of type ieee.NUMERIC_STD.UNSIGNED.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(88): near "write_dflt_cnt": expecting ';'
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(119): VHDL Compiler exiting
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(88): near "write_dflt_cnt": expecting ';'
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(117): Cannot read output "cal_cnt_out".
+#      VHDL 2008 allows reading outputs.
+#      This facility is enabled by compiling with -2008.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(117): Cannot read output "cal_cnt_out".
+#      VHDL 2008 allows reading outputs.
+#      This facility is enabled by compiling with -2008.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(37): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+run
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+add wave -position end  sim:/sim_tb/wrt_cal_cnt_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(94): near "if": expecting PROCESS
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cnt_val has changed.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_data_f" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data_f" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data_f" has OPEN or no actual associated with it.
+# Optimization failed
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(298): near "DIN_data": expecting ',' or ')'
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(308): Statement cannot be labeled.
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(436): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+# Break key hit 
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb 
+# ** Note: (vsim-3812) Design is being optimized...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+# Optimization canceled
+add wave -position insertpoint  \
+sim:/sim_tb/Calibration/LUTs/CLK
+add wave -position end  sim:/sim_tb/Calibration/LUTs/DIN_in
+add wave -position end  sim:/sim_tb/Calibration/LUTs/Delta
+add wave -position end  sim:/sim_tb/Calibration/LUTs/min_in
+add wave -position end  sim:/sim_tb/Calibration/LUTs/DIN_in
+add wave -position end  sim:/sim_tb/Calibration/LUTs/max_in
+add wave -position end  sim:/sim_tb/Calibration/LUTs/do_cal_in
+vsim -voptargs=+acc work.sim_tb
+# vsim work.sim_tb 
+# ** Note: (vsim-3812) Design is being optimized...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+add wave -position insertpoint  \
+sim:/sim_tb/CLK
+add wave -position end  sim:/sim_tb/DIN_i.data
+add wave -position end  sim:/sim_tb/Default_val_dbg
+add wave -position end  sim:/sim_tb/Dflt_cnt_dbg
+add wave -position end  sim:/sim_tb/FPGA_dbg
+add wave -position end  sim:/sim_tb/Fine
+add wave -position end  sim:/sim_tb/cal_cnt
+add wave -position end  sim:/sim_tb/cal_cng_dbg
+add wave -position end  sim:/sim_tb/wrt_cal_cnt_dbg
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+run
+# Cannot continue because of fatal error.
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+# Can't move the Now cursor.
+add wave -position end  sim:/sim_tb/max_curr_dbg
+add wave -position end  sim:/sim_tb/max_next_dbg
+add wave -position end  sim:/sim_tb/min_curr_dbg
+add wave -position end  sim:/sim_tb/min_next_dbg
+add wave -position end  sim:/sim_tb/read_next_dbg
+add wave -position end  sim:/sim_tb/write_curr_dbg
+add wave -position end  sim:/sim_tb/write_next_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 5  sim:/sim_tb/min_Cal
+add wave -position 3  sim:/sim_tb/Delta
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(34): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(34): (vcom-1136) Unknown identifier "chnl".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(36): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(36): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Warning: /home/adrian/TDC_v4/compare_old.vhd(38): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): (vcom-1136) Unknown identifier "Do_Cal".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(41): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(41): (vcom-1136) Unknown identifier "Do_Cal".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(45): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(45): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(46): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(46): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(47): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(47): (vcom-1136) Unknown identifier "Do_Cal".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(56): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): No feasible entries for infix operator "=".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Bad expression in left operand of infix expression "and".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): Signal "FPGA_in" is type ieee.std_logic_1164.STD_LOGIC; expecting type ieee.std_logic_1164.STD_LOGIC_VECTOR.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(48): Signal "FPGA_in" is type ieee.std_logic_1164.STD_LOGIC; expecting type ieee.std_logic_1164.STD_LOGIC_VECTOR.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(74): Target of signal assignment is not a signal.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(75): Target of signal assignment is not a signal.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): Signal "Min" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): Signal "Max" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(96): near "if": expecting ';'
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): Signal "Min" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): Signal "Max" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(96): near "if": expecting ';'
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(64): Variable declaration 'Max_var' not allowed in this region.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(65): Variable declaration 'Min_var' not allowed in this region.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(99): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(146): No feasible entries for infix operator "/=".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(146): Type error resolving infix expression "/=" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(229): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+# ** Error: /home/adrian/TDC_v4/default_val.vhd(60): near "end": expecting ';'
+# ** Error: /home/adrian/TDC_v4/default_val.vhd(67): near "process": expecting IF
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(60): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(60): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(21): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(21): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# ** Error: (vcom-11) Could not find work.compare_old.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# ** Error: (vcom-11) Could not find work.compare_old.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# ** Error: (vcom-11) Could not find work.compare_old.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(10): near ")": expecting FUNCTION or PROCEDURE or IMPURE or PURE
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(10): near ")": expecting FUNCTION or PROCEDURE or IMPURE or PURE
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "CLK" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_data_f" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "min_curr_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "max_curr_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "min_next_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "max_next_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "FPGA" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "chnl" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "Do_Cal_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "default_val_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "chnl_cnt_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "new_data" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(360): near "port": syntax error
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(492): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# Error: Save operation was not successful.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(51): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(51): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/cnt_i
+restart
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/cal_cng_dbg'. 
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Dflt_cnt_dbg'. 
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+# Causality operation skipped due to absence of debug database file
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Default_val/*
+# (vish-4014) No objects found matching 'sim:/sim_tb/Calibration/Cal_Limits/Default_val/*'.
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Default_val/*
+# (vish-4014) No objects found matching 'sim:/sim_tb/Calibration/Cal_Limits/Default_val/*'.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 940 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 1180 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 940 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 960 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 980 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 1180 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 520 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 540 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 560 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 580 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 600 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 620 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 640 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 660 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 680 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 700 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 720 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(444): (vcom-1136) Unknown identifier "FPGA_compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(460): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_next_i
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_next_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_curr_i
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_curr_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 256 is out of range 436 to 563.
+#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 256 is out of range 436 to 563.
+#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end  sim:/sim_tb/Calibration/max_out_LUT
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end  sim:/sim_tb/Calibration/write_dflt_cnt
+run
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end  sim:/sim_tb/Calibration/Default_val_Mem
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end  sim:/sim_tb/Calibration/Mem_next/new_data
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end  sim:/sim_tb/Calibration/ent_dflt_val/dflt_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(58): near ";": syntax error
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(59): near ";": syntax error
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(247): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/write_dflt_cnt'. 
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/txt_util.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling package txt_util
+# -- Compiling package body txt_util
+# -- Loading package txt_util
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Compiling package trb_net_std
+# -- Compiling package body trb_net_std
+# -- Loading package trb_net_std
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity input_env
+# -- Compiling architecture behavioral of input_env
+# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package txt_util
+# -- Compiling entity file_output
+# -- Compiling architecture log_to_file of file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+add wave -position end  sim:/sim_tb/write_dflt_cnt_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+add wave -position end  sim:/sim_tb/Calibration/FPGA_Lim
+add wave -position end  sim:/sim_tb/Calibration/FPGA_out_compare_old
+add wave -position end  sim:/sim_tb/Calibration/chnl_Lim
+add wave -position end  sim:/sim_tb/Calibration/CHNL_out_compare_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 460 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 480 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 500 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 400 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+add wave -position 5  sim:/sim_tb/Calibration/Cal_Limits/max_out
+add wave -position 6  sim:/sim_tb/Calibration/Cal_Limits/min_out
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+add wave -position 16  sim:/sim_tb/Calibration/write_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 740 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 760 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 780 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 800 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position 26  sim:/sim_tb/Calibration/do_cal_LIM
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+add wave -position 26  sim:/sim_tb/Calibration/Do_Cal_Memory
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 720 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_out
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_out
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/Delta_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 720 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Default_val_Mem
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/new_data_Memory
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/max_curr_in
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/min_curr_in
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Cal_Limits/chnl
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Mem_curr/Min
+add wave -position end  sim:/sim_tb/Calibration/Mem_curr/Max
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position end  sim:/sim_tb/Calibration/Mem_curr/chnl_read
+add wave -position end  sim:/sim_tb/Calibration/Mem_curr/chnl_write
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 440 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
+#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 640 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 640 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end  sim:/sim_tb/Calibration/cal_cnt_out
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end  sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end  sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt_out
+add wave -position end  sim:/sim_tb/Calibration/cal_cnt_out
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 640 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end  sim:/sim_tb/Calibration/ent_cnt_val/chnl_write
+add wave -position end  sim:/sim_tb/Calibration/write_chnl_cnt
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(82): near "chnl_out": expecting ';'
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(257): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 200 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 37  sim:/sim_tb/Calibration/min_next_Lim
+add wave -position 38  sim:/sim_tb/Calibration/max_next_Lim
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 385 is out of range 436 to 563.
+#    Time: 540 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 560 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 160 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 720 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 25  sim:/sim_tb/Calibration/Cal_Limits/FPGA
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 40 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 60 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 80 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 31 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 480 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 66 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 66 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 31  sim:/sim_tb/Calibration/Mem_next/Max
+add wave -position 32  sim:/sim_tb/Calibration/Mem_next/Min
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 18  sim:/sim_tb/Calibration/Cal_Limits/min_next_in
+add wave -position 19  sim:/sim_tb/Calibration/Cal_Limits/max_next_in
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 240 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 260 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 31 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+#    Time: 600 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 280 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+#    Time: 600 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/cnt_ii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/min_next_in
+add wave -position 28  sim:/sim_tb/Calibration/Cal_Limits/max_next_in
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(298): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(337): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 8 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 8 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/chnl_ii
+add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/chnl
+add wave -position 28  sim:/sim_tb/Calibration/Cal_Limits/chnl_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 380 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+#    Time: 420 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 38  sim:/sim_tb/Calibration/Mem_next/Max_out
+add wave -position 39  sim:/sim_tb/Calibration/Mem_next/Min_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): No feasible entries for infix operator "=".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): Bad expression in right operand of infix expression "and".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(338): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 16  sim:/sim_tb/Calibration/read_compare_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 43  sim:/sim_tb/Calibration/Mem_curr/Max_out
+add wave -position 44  sim:/sim_tb/Calibration/Mem_curr/Min_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
+#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 45  sim:/sim_tb/Calibration/Do_Cal_Memory
+add wave -position 45  sim:/sim_tb/Calibration/Do_Cal_compare_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
+#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 46  sim:/sim_tb/Calibration/FPGA_out_compare_old
+add wave -position 47  sim:/sim_tb/Calibration/FPGA_Lim
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 19  sim:/sim_tb/Calibration/Cal_Limits/chnl_ii
+add wave -position 19  sim:/sim_tb/Calibration/Cal_Limits/cnt_ii
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(198): near "if": expecting ';'
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(204): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(212): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(212): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(216): near "process": expecting IF
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(221): Illegal sequential statement.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): near "Behavioral": expecting IF
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 560 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 220 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 280 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 300 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 320 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 340 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 360 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 380 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 420 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 440 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 37 is out of range 436 to 563.
+#    Time: 560 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(199): near "max_next_i": expecting THEN
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(219): near "if": expecting PROCESS
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 180 ns  Iteration: 1  Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 360 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 37 is out of range 436 to 563.
+#    Time: 340 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(329): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(373): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 64 is out of range 436 to 563.
+#    Time: 760 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 18  sim:/sim_tb/Calibration/Do_Cal_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 28  sim:/sim_tb/Calibration/Cal_Limits/use_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(367): near ")": syntax error
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(369): Statement cannot be labeled.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(464): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+add wave -position 30  sim:/sim_tb/Calibration/Cal_Limits/FPGA_out
+add wave -position 32  sim:/sim_tb/Calibration/Cal_Limits/chnl_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(370): (vcom-1484) Unknown formal identifier "chnl_out_write".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(371): (vcom-1484) Unknown formal identifier "FPGA_out_write".
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(469): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 100 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 62 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 21  sim:/sim_tb/Calibration/Cal_Limits/cnt_iii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/max_next_iii
+add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/max_next_ii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/min_curr_ii
+add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/min_curr_iii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 24  sim:/sim_tb/Calibration/Cal_Limits/min_next_ii
+add wave -position 26  sim:/sim_tb/Calibration/Cal_Limits/min_next_iii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/min_next_iii'. 
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/max_next_iii'. 
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/cnt_iii'. 
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/max_curr_ii
+add wave -position 27  sim:/sim_tb/Calibration/Cal_Limits/min_curr_ii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 52  sim:/sim_tb/Calibration/Mem_curr/Min_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 60  sim:/sim_tb/Calibration/Cal_Limits/chnl_out_write
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 700 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 660 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 11 is out of range 436 to 563.
+#    Time: 660 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+#    Time: 680 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 220 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 240 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 260 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+step
+# Cannot continue because of fatal error.
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/Cal_Limits.vhd 164 Process Mem_next
+# 
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+add wave -position 41  sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt
+add wave -position 42  sim:/sim_tb/Calibration/ent_cnt_val/FPGA_read
+add wave -position 43  sim:/sim_tb/Calibration/ent_cnt_val/FPGA_write
+add wave -position 44  sim:/sim_tb/Calibration/ent_cnt_val/chnl_read
+add wave -position 45  sim:/sim_tb/Calibration/ent_cnt_val/chnl_write
+add wave -position 46  sim:/sim_tb/Calibration/write_chnl_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(93): near ":": expecting ';'
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(380): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 140 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+#    Time: 400 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+#    Time: 300 ns  Iteration: 1  Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+# 
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+# 
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 0 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+#    Time: 20 ns  Iteration: 1  Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+#    Time: 120 ns  Iteration: 1  Instance: /sim_tb/Calibration/ent_cnt_val
diff --git a/combiner_calib/code/trb_net_std.vhd b/combiner_calib/code/trb_net_std.vhd
new file mode 100644 (file)
index 0000000..8e8087d
--- /dev/null
@@ -0,0 +1,433 @@
+-- std package
+library ieee;
+USE IEEE.std_logic_1164.ALL;
+USE IEEE.std_logic_ARITH.ALL;           --> should be replaced with the ieee
+USE IEEE.std_logic_UNSIGNED.ALL;        --> standard package ieee.numeric_std
+
+package trb_net_std is
+
+  type channel_config_t is array(0 to 3) of integer;
+  type array_32_t is array(integer range <>) of std_logic_vector(31 downto 0);
+  type multiplexer_config_t is array(0 to 2**3-1) of integer;
+
+--Trigger types
+  constant TRIG_PHYS         : std_logic_vector(3 downto 0) := x"1";
+  constant TRIG_MDC_CAL      : std_logic_vector(3 downto 0) := x"9";
+  constant TRIG_SHW_CAL      : std_logic_vector(3 downto 0) := x"A";
+  constant TRIG_SHW_PED      : std_logic_vector(3 downto 0) := x"B";
+--Trigger Info
+  constant TRIG_SUPPRESS_BIT : integer range 0 to 15 := 0;
+
+
+
+-- some basic definitions for the whole network
+-----------------------------------------------
+
+  constant c_DATA_WIDTH        : integer   := 16;
+  constant c_NUM_WIDTH         : integer   := 3;
+  constant c_MUX_WIDTH         : integer   := 3; --!!!
+
+
+--assigning channel names
+  constant c_TRG_LVL1_CHANNEL  : integer := 0;
+  constant c_DATA_CHANNEL      : integer := 1;
+  constant c_IPU_CHANNEL       : integer := 1;
+  constant c_UNUSED_CHANNEL    : integer := 2;
+  constant c_SLOW_CTRL_CHANNEL : integer := 3;
+
+--api_type generic
+  constant c_API_ACTIVE   : integer := 1;
+  constant c_API_PASSIVE  : integer := 0;
+
+--sbuf_version generic
+  constant c_SBUF_FULL     : integer := 0;
+  constant c_SBUF_FAST     : integer := 0;
+  constant c_SBUF_HALF     : integer := 1;
+  constant c_SBUF_SLOW     : integer := 1;
+  constant c_SECURE_MODE   : integer := 1;
+  constant c_NON_SECURE_MODE : integer := 0;
+
+--fifo_depth
+  constant c_FIFO_NONE     : integer := 0;
+  constant c_FIFO_2PCK     : integer := 1;
+  constant c_FIFO_SMALL    : integer := 1;
+  constant c_FIFO_4PCK     : integer := 2;
+  constant c_FIFO_MEDIUM   : integer := 2;
+  constant c_FIFO_8PCK     : integer := 3;
+  constant c_FIFO_BIG      : integer := 3;
+  constant c_FIFO_BRAM     : integer := 6;
+  constant c_FIFO_BIGGEST  : integer := 6;
+  constant c_FIFO_INFTY    : integer := 7;
+
+--simple logic
+  constant c_YES  : integer := 1;
+  constant c_NO   : integer := 0;
+  constant c_MONITOR : integer := 2;
+
+
+--standard values
+  constant std_SBUF_VERSION     : integer := c_SBUF_FULL;
+  constant std_IBUF_SECURE_MODE : integer := c_SECURE_MODE;
+  constant std_USE_ACKNOWLEDGE  : integer := c_YES;
+  constant std_USE_REPLY_CHANNEL: integer := c_YES;
+  constant std_FIFO_DEPTH       : integer := c_FIFO_BRAM;
+  constant std_DATA_COUNT_WIDTH : integer := 7; --max 7
+  constant std_TERM_SECURE_MODE : integer := c_YES;
+  constant std_MUX_SECURE_MODE  : integer := c_NO;
+  constant std_FORCE_REPLY      : integer := c_YES;
+  constant cfg_USE_CHECKSUM      : channel_config_t   := (c_NO,c_YES,c_NO,c_YES);
+  constant cfg_USE_ACKNOWLEDGE   : channel_config_t   := (c_YES,c_YES,c_NO,c_YES);
+  constant cfg_FORCE_REPLY       : channel_config_t   := (c_YES,c_YES,c_YES,c_YES);
+  constant cfg_USE_REPLY_CHANNEL : channel_config_t   := (c_YES,c_YES,c_YES,c_YES);
+  constant c_MAX_IDLE_TIME_PER_PACKET : integer := 24;
+  constant std_multipexer_config : multiplexer_config_t := (others => c_NO);
+
+--packet types
+  constant TYPE_DAT : std_logic_vector(2 downto 0) := "000";
+  constant TYPE_HDR : std_logic_vector(2 downto 0) := "001";
+  constant TYPE_EOB : std_logic_vector(2 downto 0) := "010";
+  constant TYPE_TRM : std_logic_vector(2 downto 0) := "011";
+  constant TYPE_ACK : std_logic_vector(2 downto 0) := "101";
+  constant TYPE_ILLEGAL : std_logic_vector(2 downto 0) := "111";
+
+--Media interface error codes
+  constant ERROR_OK     : std_logic_vector(2 downto 0) := "000"; --transmission ok
+  constant ERROR_ENCOD  : std_logic_vector(2 downto 0) := "001"; --transmission error by encoding
+  constant ERROR_RECOV  : std_logic_vector(2 downto 0) := "010"; --transmission error, reconstructed
+  constant ERROR_FATAL  : std_logic_vector(2 downto 0) := "011"; --transmission error, fatal
+  constant ERROR_WAIT   : std_logic_vector(2 downto 0) := "110"; --link awaiting initial response
+  constant ERROR_NC     : std_logic_vector(2 downto 0) := "111"; --media not connected
+
+
+--special addresses
+  constant ILLEGAL_ADDRESS   : std_logic_vector(15 downto 0) := x"0000";
+  constant BROADCAST_ADDRESS : std_logic_vector(15 downto 0) := x"ffff";
+
+--command definitions
+  constant LINK_STARTUP_WORD : std_logic_vector(15 downto 0) := x"e110";
+  constant SET_ADDRESS : std_logic_vector(15 downto 0) := x"5EAD";
+  constant ACK_ADDRESS : std_logic_vector(15 downto 0) := x"ACAD";
+  constant READ_ID     : std_logic_vector(15 downto 0) := x"5E1D";
+
+--common registers
+  --maximum: 4, because of regio implementation
+  constant std_COMSTATREG  : integer := 10;
+  constant std_COMCTRLREG  : integer := 3;
+    --needed address width for common registers
+  constant std_COMneededwidth : integer := 4;
+  constant c_REGIO_ADDRESS_WIDTH : integer := 16;
+  constant c_REGIO_REGISTER_WIDTH : integer := 32;
+  constant c_REGIO_REG_WIDTH : integer := 32;
+  constant c_regio_timeout_bit : integer := 5;
+
+--RegIO operation dtype
+  constant c_network_control_type : std_logic_vector(3 downto 0) := x"F";
+  constant c_read_register_type   : std_logic_vector(3 downto 0) := x"8";
+  constant c_write_register_type  : std_logic_vector(3 downto 0) := x"9";
+  constant c_read_multiple_type   : std_logic_vector(3 downto 0) := x"A";
+  constant c_write_multiple_type  : std_logic_vector(3 downto 0) := x"B";
+
+  constant c_BUS_HANDLER_MAX_PORTS : integer := 64;
+  type c_BUS_HANDLER_ADDR_t is array(0 to c_BUS_HANDLER_MAX_PORTS) of std_logic_vector(15 downto 0);
+  type c_BUS_HANDLER_WIDTH_t is array(0 to c_BUS_HANDLER_MAX_PORTS) of integer range 0 to 16;
+
+
+--Names of 16bit words
+  constant c_H0 : std_logic_vector(2 downto 0) := "100";
+  constant c_F0 : std_logic_vector(2 downto 0) := "000";
+  constant c_F1 : std_logic_vector(2 downto 0) := "001";
+  constant c_F2 : std_logic_vector(2 downto 0) := "010";
+  constant c_F3 : std_logic_vector(2 downto 0) := "011";
+
+  constant c_H0_next : std_logic_vector(2 downto 0) := "011";
+  constant c_F0_next : std_logic_vector(2 downto 0) := "100";
+  constant c_F1_next : std_logic_vector(2 downto 0) := "000";
+  constant c_F2_next : std_logic_vector(2 downto 0) := "001";
+  constant c_F3_next : std_logic_vector(2 downto 0) := "010";
+
+  constant c_max_word_number : std_logic_vector(2 downto 0) := "100";
+  --constant VERSION_NUMBER_TIME  : std_logic_vector(31 downto 0)   := conv_std_logic_vector(1234567890,32);
+
+
+  type CTRLBUS_TX is record
+    data       : std_logic_vector(31 downto 0);
+    ack        : std_logic;
+    wack,rack  : std_logic; --for the old-fashioned guys
+    unknown    : std_logic;
+    nack       : std_logic;
+  end record;
+
+  type CTRLBUS_RX is record
+    data       : std_logic_vector(31 downto 0);
+    addr       : std_logic_vector(15 downto 0);
+    write      : std_logic;
+    read       : std_logic;
+    timeout    : std_logic;
+  end record; 
+
+  
+  type READOUT_RX is record 
+    data_valid         : std_logic;
+    valid_timing_trg   : std_logic;
+    valid_notiming_trg : std_logic;
+    invalid_trg        : std_logic;
+    --
+    trg_type           : std_logic_vector( 3 downto 0);
+    trg_number         : std_logic_vector(15 downto 0);
+    trg_code           : std_logic_vector( 7 downto 0);
+    trg_information    : std_logic_vector(23 downto 0);
+    trg_int_number     : std_logic_vector(15 downto 0);    
+    --
+    trg_multiple       : std_logic;
+    trg_timeout        : std_logic;
+    trg_spurious       : std_logic;
+    trg_missing        : std_logic;
+    trg_spike          : std_logic;
+    --
+    buffer_almost_full : std_logic;
+  end record; 
+  
+  
+  type READOUT_TX is record
+    busy_release  : std_logic;
+    statusbits    : std_logic_vector(31 downto 0);
+    data          : std_logic_vector(31 downto 0);
+    data_write    : std_logic;
+    data_finished : std_logic;
+  end record;
+    
+  
+  type TIMERS is record
+    microsecond         : std_logic_vector (31 downto 0); --global time, microseconds
+    clock               : std_logic_vector ( 7 downto 0); --local time running with chip frequency
+    last_trigger        : std_logic_vector (31 downto 0); --local time, resetted with each trigger
+    tick_ms             : std_logic;
+    tick_us             : std_logic;
+    network_address     : std_logic_vector (15 downto 0);
+    temperature         : std_logic_vector (11 downto 0);
+    uid                 : std_logic_vector (63 downto 0);
+  end record;
+    
+  type MED2INT is record
+    data      : std_logic_vector(15 downto 0);
+    packet_num: std_logic_vector(2 downto 0);
+    dataready : std_logic;
+    tx_read   : std_logic;
+    stat_op   : std_logic_vector(15 downto 0);
+    clk_half  : std_logic;
+    clk_full  : std_logic;
+  end record;
+
+  type INT2MED is record
+    data      : std_logic_vector(15 downto 0);
+    packet_num: std_logic_vector(2 downto 0);
+    dataready : std_logic;
+    ctrl_op   : std_logic_vector(15 downto 0);
+  end record;
+  
+  type API_RX_REC is record
+    data           : std_logic_vector(15 downto 0);
+    packet_num     : std_logic_vector(2 downto 0);
+    dataready      : std_logic;
+    dtype          : std_logic_vector(3 downto 0);
+    running        : std_logic;
+    seq_num        : std_logic_vector(7 downto 0);
+    read_tx        : std_logic;
+  end record;  
+
+  type API_TX_REC is record
+    data           : std_logic_vector(15 downto 0);
+    packet_num     : std_logic_vector(2 downto 0);
+    dataready      : std_logic;
+    short_transfer : std_logic;
+    dtype          : std_logic_vector(3 downto 0);
+    error_pattern  : std_logic_vector(31 downto 0);
+    send           : std_logic;
+    read_rx        : std_logic;
+  end record;  
+  
+  
+  type std_logic_vector_array_36 is array (integer range <>) of std_logic_vector(35 downto 0);
+  type std_logic_vector_array_32 is array (integer range <>) of std_logic_vector(31 downto 0);
+  type std_logic_vector_array_31 is array (integer range <>) of std_logic_vector(30 downto 0);
+  type std_logic_vector_array_24 is array (integer range <>) of std_logic_vector(23 downto 0);
+  type std_logic_vector_array_11 is array (integer range <>) of std_logic_vector(10 downto 0);
+  type std_logic_vector_array_8  is array (integer range <>) of std_logic_vector(7 downto 0);
+  type int_array_t is array(integer range <>) of integer;
+
+  type ctrlbus_tx_array_t  is array (integer range <>) of CTRLBUS_TX;
+  type ctrlbus_rx_array_t  is array (integer range <>) of CTRLBUS_RX;
+  type readout_tx_array_t  is array (integer range <>) of READOUT_TX;
+  type med2int_array_t     is array (integer range <>) of MED2INT;
+  type int2med_array_t     is array (integer range <>) of INT2MED;
+    
+--function declarations
+  function and_all (arg : std_logic_vector)
+    return std_logic;
+  function or_all  (arg : std_logic_vector)
+    return std_logic;
+  function all_zero (arg : std_logic_vector)
+    return std_logic;
+  function xor_all  (arg : std_logic_vector)
+    return std_logic;
+
+  function get_bit_position  (arg : std_logic_vector)
+    return integer;
+
+  function is_time_reached  (timer : integer; time : integer; period : integer)
+    return std_logic;
+
+  function MAX(x : integer; y : integer)
+    return integer;
+
+  function Log2( input:integer ) return integer;
+  function count_ones( input:std_logic_vector ) return integer;
+  function minimum (LEFT, RIGHT: INTEGER) return INTEGER;
+  function maximum (LEFT, RIGHT: INTEGER) return INTEGER;
+
+
+end package trb_net_std;
+
+package body trb_net_std is
+
+  function and_all (arg : std_logic_vector)
+    return std_logic is
+    variable tmp : std_logic := '1';
+    begin
+      tmp := '1';
+      for i in arg'range loop
+        tmp := tmp and arg(i);
+      end loop;  -- i
+      return tmp;
+  end function and_all;
+
+  function or_all (arg : std_logic_vector)
+    return std_logic is
+    variable tmp : std_logic := '1';
+    begin
+      tmp := '0';
+      for i in arg'range loop
+        tmp := tmp or arg(i);
+      end loop;  -- i
+      return tmp;
+  end function or_all;
+
+  function all_zero (arg : std_logic_vector)
+    return std_logic is
+        variable tmp : std_logic := '1';
+        begin
+      for i in arg'range loop
+                 tmp := not arg(i);
+        exit when tmp = '0';
+      end loop;  -- i
+      return tmp;
+  end function all_zero;
+
+  function xor_all (arg : std_logic_vector)
+    return std_logic is
+    variable tmp : std_logic := '0';
+    begin
+      tmp := '0';
+      for i in arg'range loop
+        tmp := tmp xor arg(i);
+      end loop;  -- i
+      return tmp;
+  end function xor_all;
+
+  function get_bit_position (arg : std_logic_vector)
+    return integer is
+    variable tmp : integer := 0;
+    begin
+      tmp := 0;
+      for i in  arg'range loop
+        if arg(i) = '1' then
+          return i;
+        end if;
+        --exit when arg(i) = '1';
+      end loop;  -- i
+      return 0;
+  end get_bit_position;
+
+  function is_time_reached  (timer : integer; time : integer; period : integer)
+    return std_logic is
+    variable i : integer range 0 to 1 := 0;
+    variable t : std_logic_vector(27 downto 0) := conv_std_logic_vector(timer,28);
+    begin
+      i := 0;
+      if period = 10 then
+        case time is
+          when 1300000000 => if t(27) = '1' then i := 1; end if;
+          when 640000 => if t(16) = '1' then i := 1; end if;
+          when 80000  => if t(13) = '1' then i := 1; end if;
+          when 10000  => if t(10) = '1' then i := 1; end if;
+          when 1200   => if t(7)  = '1' then i := 1; end if;
+          when others => if timer >= time/period then i := 1; end if;
+        end case;
+      elsif period = 40 then
+        case time is
+          when 1300000000 => if t(25) = '1' then i := 1; end if;
+          when 640000 => if t(14) = '1' then i := 1; end if;
+          when 80000  => if t(11) = '1' then i := 1; end if;
+          when 10000  => if t(8) = '1' then i := 1; end if;
+          when 1200   => if t(5)  = '1' then i := 1; end if;
+          when others => if timer >= time/period then i := 1; end if;
+        end case;
+      else
+        if timer = time/period then i := 1; end if;
+      end if;
+      if i = 1 then  return '1'; else return '0'; end if;
+    end is_time_reached;
+
+  function MAX(x : integer; y : integer)
+    return integer is
+    begin
+      if x > y then
+        return x;
+      else
+        return y;
+      end if;
+    end MAX;
+
+
+  function Log2( input:integer ) return integer is
+    variable temp,log:integer;
+    begin
+      temp:=input;
+      log:=0;
+      while (temp /= 0) loop
+      temp:=temp/2;
+      log:=log+1;
+      end loop;
+      return log;
+      end function log2;
+
+  function count_ones( input:std_logic_vector ) return integer is
+    variable temp:std_logic_vector(input'range);
+    begin
+      temp := (others => '0');
+      for i in input'range loop
+--        if input(i) = '1' then
+          temp := temp + input(i);
+--        end if;
+      end loop;
+      return conv_integer(temp);
+      end function count_ones;
+
+      
+function minimum (LEFT, RIGHT: INTEGER) return INTEGER is
+  begin
+    if LEFT < RIGHT then return LEFT;
+    else return RIGHT;
+    end if;
+  end function;        
+
+function maximum (LEFT, RIGHT: INTEGER) return INTEGER is
+  begin
+    if LEFT > RIGHT then return LEFT;
+    else return RIGHT;
+    end if;
+  end function;       
+  
+end package body trb_net_std;
+
diff --git a/combiner_calib/code/txt_util.vhd b/combiner_calib/code/txt_util.vhd
new file mode 100644 (file)
index 0000000..aaccd41
--- /dev/null
@@ -0,0 +1,582 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use std.textio.all;
+
+
+package txt_util is
+
+    -- prints a message to the screen
+    procedure print(text: string);
+
+    -- prints the message when active
+    -- useful for debug switches
+    procedure print(active: boolean; text: string);
+
+    -- converts std_logic into a character
+    function chr(sl: std_logic) return character;
+
+    -- converts std_logic into a string (1 to 1)
+    function str(sl: std_logic) return string;
+
+    -- converts std_logic_vector into a string (binary base)
+    function str(slv: std_logic_vector) return string;
+
+    -- converts boolean into a string
+    function str(b: boolean) return string;
+
+    -- converts an integer into a single character
+    -- (can also be used for hex conversion and other bases)
+    function chr(int: integer) return character;
+
+    -- converts integer into string using specified base
+    function str(int: integer; base: integer) return string;
+
+    -- converts integer to string, using base 10
+    function str(int: integer) return string;
+
+    -- convert std_logic_vector into a string in hex format
+    function hstr(slv: std_logic_vector) return string;
+
+
+    -- functions to manipulate strings
+    -----------------------------------
+
+    -- convert a character to upper case
+    function to_upper(c: character) return character;
+
+    -- convert a character to lower case
+    function to_lower(c: character) return character;
+
+    -- convert a string to upper case
+    function to_upper(s: string) return string;
+
+    -- convert a string to lower case
+    function to_lower(s: string) return string;
+
+   
+    
+    -- functions to convert strings into other formats
+    --------------------------------------------------
+    
+    -- converts a character into std_logic
+    function to_std_logic(c: character) return std_logic; 
+    
+    -- converts a string into std_logic_vector
+    function to_std_logic_vector(s: string) return std_logic_vector; 
+
+
+  
+    -- file I/O
+    -----------
+       
+    -- read variable length string from input file
+    procedure str_read(file in_file: TEXT; 
+                       res_string: out string);
+        
+    -- print string to a file and start new line
+    procedure print(file out_file: TEXT;
+                    new_string: in  string);
+    
+    -- print character to a file and start new line
+    procedure print(file out_file: TEXT;
+                    char:       in  character);
+                    
+end txt_util;
+
+
+
+
+package body txt_util is
+
+
+
+
+   -- prints text to the screen
+
+   procedure print(text: string) is
+     variable msg_line: line;
+     begin
+       write(msg_line, text);
+       writeline(output, msg_line);
+   end print;
+
+
+
+
+   -- prints text to the screen when active
+
+   procedure print(active: boolean; text: string)  is
+     begin
+      if active then
+         print(text);
+      end if;
+   end print;
+
+
+   -- converts std_logic into a character
+
+   function chr(sl: std_logic) return character is
+    variable c: character;
+    begin
+      case sl is
+         when 'U' => c:= 'U';
+         when 'X' => c:= 'X';
+         when '0' => c:= '0';
+         when '1' => c:= '1';
+         when 'Z' => c:= 'Z';
+         when 'W' => c:= 'W';
+         when 'L' => c:= 'L';
+         when 'H' => c:= 'H';
+         when '-' => c:= '-';
+      end case;
+    return c;
+   end chr;
+
+
+
+   -- converts std_logic into a string (1 to 1)
+
+   function str(sl: std_logic) return string is
+    variable s: string(1 to 1);
+    begin
+        s(1) := chr(sl);
+        return s;
+   end str;
+
+
+
+   -- converts std_logic_vector into a string (binary base)
+   -- (this also takes care of the fact that the range of
+   --  a string is natural while a std_logic_vector may
+   --  have an integer range)
+
+   function str(slv: std_logic_vector) return string is
+     variable result : string (1 to slv'length);
+     variable r : integer;
+   begin
+     r := 1;
+     for i in slv'range loop
+        result(r) := chr(slv(i));
+        r := r + 1;
+     end loop;
+     return result;
+   end str;
+
+
+   function str(b: boolean) return string is
+
+    begin
+       if b then
+          return "true";
+      else
+        return "false";
+       end if;
+    end str;
+
+
+   -- converts an integer into a character
+   -- for 0 to 9 the obvious mapping is used, higher
+   -- values are mapped to the characters A-Z
+   -- (this is usefull for systems with base > 10)
+   -- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
+
+   function chr(int: integer) return character is
+    variable c: character;
+   begin
+        case int is
+          when  0 => c := '0';
+          when  1 => c := '1';
+          when  2 => c := '2';
+          when  3 => c := '3';
+          when  4 => c := '4';
+          when  5 => c := '5';
+          when  6 => c := '6';
+          when  7 => c := '7';
+          when  8 => c := '8';
+          when  9 => c := '9';
+          when 10 => c := 'A';
+          when 11 => c := 'B';
+          when 12 => c := 'C';
+          when 13 => c := 'D';
+          when 14 => c := 'E';
+          when 15 => c := 'F';
+          when 16 => c := 'G';
+          when 17 => c := 'H';
+          when 18 => c := 'I';
+          when 19 => c := 'J';
+          when 20 => c := 'K';
+          when 21 => c := 'L';
+          when 22 => c := 'M';
+          when 23 => c := 'N';
+          when 24 => c := 'O';
+          when 25 => c := 'P';
+          when 26 => c := 'Q';
+          when 27 => c := 'R';
+          when 28 => c := 'S';
+          when 29 => c := 'T';
+          when 30 => c := 'U';
+          when 31 => c := 'V';
+          when 32 => c := 'W';
+          when 33 => c := 'X';
+          when 34 => c := 'Y';
+          when 35 => c := 'Z';
+          when others => c := '?';
+        end case;
+        return c;
+    end chr;
+
+
+
+   -- convert integer to string using specified base
+   -- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
+
+   function str(int: integer; base: integer) return string is
+
+    variable temp:      string(1 to 10);
+    variable num:       integer;
+    variable abs_int:   integer;
+    variable len:       integer := 1;
+    variable power:     integer := 1;
+
+   begin
+
+    -- bug fix for negative numbers
+    abs_int := abs(int);
+
+    num     := abs_int;
+
+    while num >= base loop                     -- Determine how many
+      len := len + 1;                          -- characters required
+      num := num / base;                       -- to represent the
+    end loop ;                                 -- number.
+
+    for i in len downto 1 loop                 -- Convert the number to
+      temp(i) := chr(abs_int/power mod base);  -- a string starting
+      power := power * base;                   -- with the right hand
+    end loop ;                                 -- side.
+
+    -- return result and add sign if required
+    if int < 0 then
+       return '-'& temp(1 to len);
+     else
+       return temp(1 to len);
+    end if;
+
+   end str;
+
+
+  -- convert integer to string, using base 10
+  function str(int: integer) return string is
+
+   begin
+
+    return str(int, 10) ;
+
+   end str;
+
+
+
+   -- converts a std_logic_vector into a hex string.
+   function hstr(slv: std_logic_vector) return string is
+       variable hexlen: integer;
+       variable longslv : std_logic_vector(67 downto 0) := (others => '0');
+       variable hex : string(1 to 16);
+       variable fourbit : std_logic_vector(3 downto 0);
+     begin
+       hexlen := (slv'left+1)/4;
+       if (slv'left+1) mod 4 /= 0 then
+         hexlen := hexlen + 1;
+       end if;
+       longslv(slv'left downto 0) := slv;
+       for i in (hexlen -1) downto 0 loop
+         fourbit := longslv(((i*4)+3) downto (i*4));
+         case fourbit is
+           when "0000" => hex(hexlen -I) := '0';
+           when "0001" => hex(hexlen -I) := '1';
+           when "0010" => hex(hexlen -I) := '2';
+           when "0011" => hex(hexlen -I) := '3';
+           when "0100" => hex(hexlen -I) := '4';
+           when "0101" => hex(hexlen -I) := '5';
+           when "0110" => hex(hexlen -I) := '6';
+           when "0111" => hex(hexlen -I) := '7';
+           when "1000" => hex(hexlen -I) := '8';
+           when "1001" => hex(hexlen -I) := '9';
+           when "1010" => hex(hexlen -I) := 'A';
+           when "1011" => hex(hexlen -I) := 'B';
+           when "1100" => hex(hexlen -I) := 'C';
+           when "1101" => hex(hexlen -I) := 'D';
+           when "1110" => hex(hexlen -I) := 'E';
+           when "1111" => hex(hexlen -I) := 'F';
+           when "ZZZZ" => hex(hexlen -I) := 'z';
+           when "UUUU" => hex(hexlen -I) := 'u';
+           when "XXXX" => hex(hexlen -I) := 'x';
+           when others => hex(hexlen -I) := '?';
+         end case;
+       end loop;
+       return hex(1 to hexlen);
+     end hstr;
+
+
+
+   -- functions to manipulate strings
+   -----------------------------------
+
+
+   -- convert a character to upper case
+
+   function to_upper(c: character) return character is
+
+      variable u: character;
+
+    begin
+
+       case c is
+        when 'a' => u := 'A';
+        when 'b' => u := 'B';
+        when 'c' => u := 'C';
+        when 'd' => u := 'D';
+        when 'e' => u := 'E';
+        when 'f' => u := 'F';
+        when 'g' => u := 'G';
+        when 'h' => u := 'H';
+        when 'i' => u := 'I';
+        when 'j' => u := 'J';
+        when 'k' => u := 'K';
+        when 'l' => u := 'L';
+        when 'm' => u := 'M';
+        when 'n' => u := 'N';
+        when 'o' => u := 'O';
+        when 'p' => u := 'P';
+        when 'q' => u := 'Q';
+        when 'r' => u := 'R';
+        when 's' => u := 'S';
+        when 't' => u := 'T';
+        when 'u' => u := 'U';
+        when 'v' => u := 'V';
+        when 'w' => u := 'W';
+        when 'x' => u := 'X';
+        when 'y' => u := 'Y';
+        when 'z' => u := 'Z';
+        when others => u := c;
+    end case;
+
+      return u;
+
+   end to_upper;
+
+
+   -- convert a character to lower case
+
+   function to_lower(c: character) return character is
+
+      variable l: character;
+
+    begin
+
+       case c is
+        when 'A' => l := 'a';
+        when 'B' => l := 'b';
+        when 'C' => l := 'c';
+        when 'D' => l := 'd';
+        when 'E' => l := 'e';
+        when 'F' => l := 'f';
+        when 'G' => l := 'g';
+        when 'H' => l := 'h';
+        when 'I' => l := 'i';
+        when 'J' => l := 'j';
+        when 'K' => l := 'k';
+        when 'L' => l := 'l';
+        when 'M' => l := 'm';
+        when 'N' => l := 'n';
+        when 'O' => l := 'o';
+        when 'P' => l := 'p';
+        when 'Q' => l := 'q';
+        when 'R' => l := 'r';
+        when 'S' => l := 's';
+        when 'T' => l := 't';
+        when 'U' => l := 'u';
+        when 'V' => l := 'v';
+        when 'W' => l := 'w';
+        when 'X' => l := 'x';
+        when 'Y' => l := 'y';
+        when 'Z' => l := 'z';
+        when others => l := c;
+    end case;
+
+      return l;
+
+   end to_lower;
+
+
+
+   -- convert a string to upper case
+
+   function to_upper(s: string) return string is
+
+     variable uppercase: string (s'range);
+
+   begin
+
+     for i in s'range loop
+        uppercase(i):= to_upper(s(i));
+     end loop;
+     return uppercase;
+
+   end to_upper;
+
+
+
+   -- convert a string to lower case
+
+   function to_lower(s: string) return string is
+
+     variable lowercase: string (s'range);
+
+   begin
+
+     for i in s'range loop
+        lowercase(i):= to_lower(s(i));
+     end loop;
+     return lowercase;
+
+   end to_lower;
+
+
+
+-- functions to convert strings into other types
+
+
+-- converts a character into a std_logic
+
+function to_std_logic(c: character) return std_logic is 
+    variable sl: std_logic;
+    begin
+      case c is
+        when 'U' => 
+           sl := 'U'; 
+        when 'X' =>
+           sl := 'X';
+        when '0' => 
+           sl := '0';
+        when '1' => 
+           sl := '1';
+        when 'Z' => 
+           sl := 'Z';
+        when 'W' => 
+           sl := 'W';
+        when 'L' => 
+           sl := 'L';
+        when 'H' => 
+           sl := 'H';
+        when '-' => 
+           sl := '-';
+        when others =>
+           sl := 'X'; 
+    end case;
+   return sl;
+  end to_std_logic;
+
+
+-- converts a string into std_logic_vector
+
+function to_std_logic_vector(s: string) return std_logic_vector is 
+  variable slv: std_logic_vector(s'high-s'low downto 0);
+  variable k: integer;
+begin
+   k := s'high-s'low;
+  for i in s'range loop
+     slv(k) := to_std_logic(s(i));
+     k      := k - 1;
+  end loop;
+  return slv;
+end to_std_logic_vector;                                       
+                                       
+                                       
+                                       
+                                       
+                                       
+                                       
+----------------
+--  file I/O  --
+----------------
+
+
+
+-- read variable length string from input file
+     
+procedure str_read(file in_file: TEXT; 
+                   res_string: out string) is
+       
+       variable l:         line;
+       variable c:         character;
+       variable is_string: boolean;
+       
+   begin
+           
+     readline(in_file, l);
+     -- clear the contents of the result string
+     for i in res_string'range loop
+         res_string(i) := ' ';
+     end loop;   
+     -- read all characters of the line, up to the length  
+     -- of the results string
+     for i in res_string'range loop
+        read(l, c, is_string);
+        res_string(i) := c;
+        if not is_string then -- found end of line
+           exit;
+        end if;   
+     end loop; 
+                     
+end str_read;
+
+
+-- print string to a file
+procedure print(file out_file: TEXT;
+                new_string: in  string) is
+       
+       variable l: line;
+       
+   begin
+      
+     write(l, new_string);
+     writeline(out_file, l);
+                     
+end print;
+
+
+-- print character to a file and start new line
+procedure print(file out_file: TEXT;
+                char: in  character) is
+       
+       variable l: line;
+       
+   begin
+      
+     write(l, char);
+     writeline(out_file, l);
+                     
+end print;
+
+
+
+-- appends contents of a string to a file until line feed occurs
+-- (LF is considered to be the end of the string)
+
+procedure str_write(file out_file: TEXT; 
+                    new_string: in  string) is
+ begin
+      
+   for i in new_string'range loop
+      print(out_file, new_string(i));
+      if new_string(i) = LF then -- end of string
+         exit;
+      end if;
+   end loop;               
+                     
+end str_write;
+
+
+
+
+end txt_util;
index 2589fc9cfd11fc83a4bc06a2a8a5929089fb114d..e745fc41bbb6cef584fd40955a9e6169fe6e7ba4 100644 (file)
@@ -197,7 +197,17 @@ add_file -vhdl -lib work "../../trbnet/special/handler_trigger_and_data.vhd"
 add_file -vhdl -lib work "../../trbnet/trb_net16_endpoint_hades_full_handler_record.vhd"
 add_file -vhdl -lib work "../../trbnet/special/bus_register_handler.vhd"
 
-
+#TDC Calibration
+add_file -vhdl -lib work "./code/Calibration.vhd"
+add_file -vhdl -lib work "./code/Cal_Limits_v2.vhd"
+add_file -vhdl -lib work "./code/cnt_val.vhd"
+add_file -vhdl -lib work "./code/default_val.vhd"
+add_file -vhdl -lib work "./code/LUT.vhd"
+add_file -vhdl -lib work "./code/Memory.vhd"
+add_file -vhdl -lib work "./code/Memory_curr.vhd"
+add_file -vhdl -lib work "./code/read_cnt.vhd"
+add_file -vhdl -lib work "./code/compare_old.vhd"
+add_file -vhdl -lib work "./code/Calc_output.vhd"
 
 
 add_file -vhdl -lib work "./combiner.vhd"
index ece6c7ccc223ad19627956621e59a16e05a6b2ed..355bf9cc5fa875c22d65dd9ae42b15bbd8cad284 100644 (file)
@@ -112,8 +112,8 @@ architecture arch of combiner is
   signal int2med           : int2med_array_t(0 to INTERFACE_NUM-1);
   signal med_stat_debug    : std_logic_vector (1*64-1  downto 0);
   
-  signal ctrlbus_rx, bustools_rx, bustc_rx, bus_master_out, handlerbus_rx , busdebug_rx : CTRLBUS_RX;
-  signal ctrlbus_tx, bustools_tx, bustc_tx, bus_master_in , busdebug_tx : CTRLBUS_TX;
+  signal ctrlbus_rx, bustools_rx, bustc_rx, bus_master_out, handlerbus_rx, busdebug_rx, bustdccal_rx   : CTRLBUS_RX;
+  signal ctrlbus_tx, bustools_tx, bustc_tx, bus_master_in , busdebug_tx  ,bustdccal_tx                                                         : CTRLBUS_TX;
   
   signal bussci_tx : ctrlbus_tx_array_t(0 to 3);
   signal bussci_rx : ctrlbus_rx_array_t(0 to 3);
@@ -211,6 +211,20 @@ architecture arch of combiner is
   signal rd_enabled                : std_logic := '0';
   signal my_network_address : std_logic_vector(15 downto 0);
   
+  ---------------------------
+  ----- TDC CALIBRATION -----
+  ---------------------------
+  signal tdc_cal_data_out              : std_logic_vector(31 downto 0);
+  signal tdc_cal_type_out              : std_logic_vector( 3 downto 0);
+  signal tdc_cal_ready_out     : std_logic;
+  signal tdc_cal_stat_out              : std_logic_vector(31 downto 0);
+  
+  signal MUX_cal_dout                          : std_logic_vector(31 downto 0);
+  signal MUX_cal_dout_type     : std_logic_vector( 3 downto 0);
+  signal MUX_cal_dout_ready    : std_logic;
+  signal MUX_cal_dout_stat     : std_logic_vector(31 downto 0);
+  signal MUX_cal_sw                                    : std_logic := '0';
+  
   component FIFO_36x64 is
     port (
         Data: in  std_logic_vector(35 downto 0); 
@@ -573,7 +587,7 @@ back_slave_ready_i <= BACK_SLAVE_READY;
     CTS_READOUT_FINISHED_IN => cts_finished,
     CTS_READ_OUT            => cts_read,--sagt, ob lese bereit
     CTS_LENGTH_IN           => cts_length, -- lenge der Daten ohne ertsen Header
-    CTS_STATUS_BITS_IN      => EvStatBits,
+    CTS_STATUS_BITS_IN      => MUX_cal_dout_stat,
     -- Data from Frontends
     FEE_DATA_OUT            => fee_data,
     FEE_DATAREADY_OUT       => fee_dataready,
@@ -758,7 +772,60 @@ back_slave_ready_i <= BACK_SLAVE_READY;
       end case;
     end if;  
     end process;
-    
+-----------------------------------------------------------------------
+
+ THE_TDC_CAL : entity work.TDC_Calibration
+    port map ( 
+        CLK                                    => clk_sys,
+        DIN                                    => pckr_Data,
+        DIN_TYPE               => pckr_Data_type,
+        DIN_READY              => pckr_Data_ready,
+        DIN_STAT               => EvStatBits,
+        DOUT                           => tdc_cal_data_out,
+        DOUT_TYPE              => tdc_cal_type_out,
+        DOUT_READY     => tdc_cal_ready_out,
+        DOUT_STAT              => tdc_cal_stat_out,
+        BUS_RX                 => bustdccal_rx,
+        BUS_TX                 => bustdccal_tx);
+        
+        
+-----------------------------------------------------------------------    
+
+       THE_CAL_MUX : process
+       begin
+       wait until rising_edge(clk_sys);
+       if MUX_cal_sw = '0' then
+               MUX_cal_dout                            <= pckr_Data;
+               MUX_cal_dout_type               <= pckr_Data_type;
+               MUX_cal_dout_ready      <= pckr_Data_ready;
+               MUX_cal_dout_stat               <= EvStatBits;
+       else
+               MUX_cal_dout                            <= tdc_cal_data_out;
+               MUX_cal_dout_type               <= tdc_cal_type_out;
+               MUX_cal_dout_ready      <= tdc_cal_ready_out;
+               MUX_cal_dout_stat               <= tdc_cal_stat_out;
+       end if;
+       end process;
+
+-----------------------------------------------------------------------    
+
+ THE_FIFO_36x32k : fifo_36x32k_oreg
+    port map (
+                       Data(31 downto 0)                                       => MUX_cal_dout,
+                       Data(35 downto 32)                              => MUX_cal_dout_type,
+                       Clock                                                                                   => clk_sys,
+                       WrEn                                                                                    => MUX_cal_dout_ready,
+                       RdEn                                                                                    => fifo_rdEn, 
+                       Reset                                                                                   => reset_i,
+                       AmFullThresh(14 downto 0)       => b"011111111111111",
+                       Q                                                                                                       => fifo_data_out,
+                       WCNT(15 downto 0)                                       => WCNT_i,
+                       Empty                                                                                   => pckr_fifo_empty,
+                       Full                                                                                    => pckr_fifo_full,
+                       AlmostFull                                                              => open);
+  
+-----------------------------------------------------------------------    
 
    THE_DATAPACKER_FSM_TX : process    --data buffer is necessary
     variable EvInf_TX          : std_logic_vector(31 downto 0);
@@ -786,138 +853,107 @@ back_slave_ready_i <= BACK_SLAVE_READY;
     fifo_rdEn_2r  <= fifo_rdEn_r; --2r is readySignal
     dataready := '0';
     
-    if reset_i = '1' then
-     pckr_TX_state <= EVNT;
-     already_asked <= '0';
-    else
-    
-    if already_asked = '0' then
-      if cts_dataready = '0' then
-        already_asked <= '1';
-        rd_enabled <= '0';
-      end if;
-    end if;
+               if reset_i = '1' then
+                       pckr_TX_state <= EVNT;
+                       already_asked <= '0';
+               else
+                       if already_asked = '0' then
+                               if cts_dataready = '0' then
+                                       already_asked <= '1';
+                                       rd_enabled <= '0';
+                               end if;
+                       end if;
     
-    if already_asked = '1' then
-      if pckr_fifo_empty = '0' and rd_enabled = '0' then
-        fifo_rdEn  <= '1';
-        rd_enabled <= '1';
-      end if;
-      if fifo_rdEn_2r = '1' then
-        dataready := '1';
-        already_asked <= '0';
-        pckr_TX_data      := fifo_data_out(31 downto 0);
-        pckr_TX_data_type := fifo_data_out(35 downto 32);
-      end if;
-    end if;
+                       if already_asked = '1' then
+                               if pckr_fifo_empty = '0' and rd_enabled = '0' then
+                                       fifo_rdEn  <= '1';
+                                       rd_enabled <= '1';
+                               end if;
+                               if fifo_rdEn_2r = '1' then
+                                       dataready := '1';
+                                       already_asked <= '0';
+                                       pckr_TX_data      := fifo_data_out(31 downto 0);
+                                       pckr_TX_data_type := fifo_data_out(35 downto 32);
+                               end if;
+                       end if;
     
     
-      case pckr_TX_state is
-       when EVNT =>
-       
-             if cts_start_readout = '1' then
-               if dataready = '1' then
-                       if pckr_TX_data_type = x"1" then
-                       --EvInf_TX       := pckr_TX_data;
-                         cts_data <= pckr_TX_data;
-                       end if;  --maybe a flag that x"1" is set before x"2" comes?
+                       case pckr_TX_state is
+                               when EVNT =>
+                                       if cts_start_readout = '1' then
+                                               if dataready = '1' then
+                                                       if pckr_TX_data_type = x"1" then
+                                                               --EvInf_TX       := pckr_TX_data;
+                                                               cts_data <= pckr_TX_data;
+                                                       end if;  --maybe a flag that x"1" is set before x"2" comes?
                        
-                       if pckr_TX_data_type = x"2" then
-                         cts_length       <= pckr_TX_data(31 downto 16);
-                         EvLength_TX_cntr := pckr_TX_data(31 downto 16);
-                         Header_ready     := '1';
-                       end if;
-               end if;
-               --cts_data   <= EvInf_TX;
-               --cts_length <= EvLength_TX;
+                                                       if pckr_TX_data_type = x"2" then
+                                                               cts_length         <= pckr_TX_data(31 downto 16);
+                                                               EvLength_TX_cntr := pckr_TX_data(31 downto 16);
+                                                               Header_ready       := '1';
+                                                       end if;
+                                               end if;
+                                               --cts_data   <= EvInf_TX;
+                                               --cts_length <= EvLength_TX;
        
-               if Header_ready = '1' then
-                 cts_dataready <= '1';
-                 if cts_read = '1' and cts_dataready = '1' then
-                   pckr_TX_state <= DATA;
-                   cts_dataready <= '0';
-                   Header_ready := '0';
-                 end if;
-               end if;
-               
-               FSM_state_TX <= x"00000001";
-
-             end if;  
-       when DATA =>
+                                               if Header_ready = '1' then
+                                                       cts_dataready <= '1';
+                                                       if cts_read = '1' and cts_dataready = '1' then
+                                                               pckr_TX_state <= DATA;
+                                                               cts_dataready <= '0';
+                                                               Header_ready := '0';
+                                                       end if;
+                                               end if;
+                                       FSM_state_TX <= x"00000001";
+                                       end if;  
+                                       
+                               when DATA =>
              
-             if pckr_TX_data_type = x"3" or pckr_TX_data_type = x"4" then
-               if dataready = '1' then
-                 cts_data <= pckr_TX_data;
-                 cts_dataready <= '1';
-               end if;
+                                       if pckr_TX_data_type = x"3" or pckr_TX_data_type = x"4" then
+                                               if dataready = '1' then
+                                                       cts_data <= pckr_TX_data;
+                                                       cts_dataready <= '1';
+                                               end if;
                    
-               if cts_read = '1' and cts_dataready = '1' then
-                 EvLength_TX_cntr := EvLength_TX_cntr - 1;
-                 cts_dataready <= '0';
-               end if;
-               if EvLength_TX_cntr = 0 then
-                 pckr_TX_state <= FINISH;
-                 cts_dataready <= '0';
-               end if;
-             end if;
-             DEBUG_EvtLength <= EvLength_TX_cntr;
-             FSM_state_TX <= x"00000002";
+                                               if cts_read = '1' and cts_dataready = '1' then
+                                                       EvLength_TX_cntr := EvLength_TX_cntr - 1;
+                                                       cts_dataready <= '0';
+                                               end if;
+                                               
+                                               if EvLength_TX_cntr = 0 then
+                                                       pckr_TX_state <= FINISH;
+                                                       cts_dataready <= '0';
+                                               end if;
+                                       end if;
+                                       DEBUG_EvtLength <= EvLength_TX_cntr;
+                                       FSM_state_TX <= x"00000002";
              
-       when FINISH =>    
-             cts_finished  <= '1';
-             pckr_TX_state <= EVNT;
-             FSM_state_TX <= x"00000003";
+                               when FINISH =>    
+                                       cts_finished  <= '1';
+                                       pckr_TX_state <= EVNT;
+                                       FSM_state_TX <= x"00000003";
        
-       when others =>
-             FSM_state_TX <= x"00000004";
-      end case;
+                               when others =>
+                                       FSM_state_TX <= x"00000004";
+                       end case;
       
       
-      DEBUG_enable_fifo_rdEn <= enable_fifo_rdEn;
+       DEBUG_enable_fifo_rdEn <= enable_fifo_rdEn;
       
-    end if;
-    end process;
+       end if;
+       end process;
         
- THE_FIFO_36x64 : fifo_36x32k_oreg
-    port map (
-       Data(31 downto 0)        => pckr_Data,
-       Data(35 downto 32)       => pckr_Data_type,
-       Clock                    => clk_sys,
-       WrEn                     => pckr_Data_ready,
-       RdEn                     => fifo_rdEn, 
-        Reset                   => reset_i,
-        AmFullThresh(14 downto 0)=> b"011111111111111",
-        Q                       => fifo_data_out,
-        WCNT(15 downto 0)        => WCNT_i,
-        Empty                   => pckr_fifo_empty,
-        Full                    => pckr_fifo_full,
-        AlmostFull              => open);
         
---rdack <= ctrlbus_tx.rack or ctrlbus_tx.ack;
---wrack <= ctrlbus_tx.wack or ctrlbus_tx.ack;
-    
--- gen_media_record : for i in 0 to INTERFACE_NUM-1 generate
---   med_data_in(i*16+15 downto i*16)    <= med2int(i).data;
---   med_packet_num_in(i*3+2 downto i*3) <= med2int(i).packet_num;
---   med_dataready_in(i)                 <= med2int(i).dataready;
---   med_read_in(i)                      <= med2int(i).tx_read;
---   med_stat_op(i*16+15 downto i*16)    <= med2int(i).stat_op;
---   
---   int2med(i).data         <= med_data_out(i*16+15 downto i*16);    
---   int2med(i).packet_num   <= med_packet_num_out(i*3+2 downto i*3);
---   int2med(i).dataready    <= med_dataready_out(i);
---   int2med(i).ctrl_op      <= med_ctrl_op(i*16+15 downto i*16);
--- end generate;
-  
+
   
 ---------------------------------------------------------------------------
 -- Bus Handler
 ---------------------------------------------------------------------------
   THE_BUS_HANDLER : entity work.trb_net16_regio_bus_handler_record
     generic map(
-      PORT_NUMBER      => 7,
-      PORT_ADDRESSES   => (0 => x"d000", 1 => x"d300", 2 => x"b000", 3 => x"b200", 4 => x"b400", 5 => x"b600", 6 => x"e000", others => x"0000"),
-      PORT_ADDR_MASK   => (0 => 12,      1 => 1,       2 => 9,       3 => 9,       4 => 9,       5 => 9      , 6 => 12     , others => 0),
+      PORT_NUMBER      => 8,
+      PORT_ADDRESSES   => (0 => x"d000", 1 => x"d300", 2 => x"b000", 3 => x"b200", 4 => x"b400", 5 => x"b600", 6 => x"e000", 7 => x"ef00", others => x"0000"),
+      PORT_ADDR_MASK   => (0 => 12,      1 => 1,       2 => 9,       3 => 9,       4 => 9,       5 => 9      , 6 => 12     , 7 => 8      , others => 0),
       PORT_MASK_ENABLE => 1
       )
     port map(
@@ -933,14 +969,16 @@ back_slave_ready_i <= BACK_SLAVE_READY;
       BUS_RX(3) => bussci_rx(1),
       BUS_RX(4) => bussci_rx(2),
       BUS_RX(5) => bussci_rx(3),
-      BUS_RX(6) => busdebug_rx,
+      BUS_RX(6) => bustdccal_rx,
+      BUS_RX(7) => busdebug_rx,
       BUS_TX(0) => bustools_tx,
       BUS_TX(1) => bustc_tx,
       BUS_TX(2) => bussci_tx(0),
       BUS_TX(3) => bussci_tx(1),
       BUS_TX(4) => bussci_tx(2),
       BUS_TX(5) => bussci_tx(3),
-      BUS_TX(6) => busdebug_tx,
+      BUS_TX(6) => bustdccal_tx,
+      BUS_TX(7) => busdebug_tx,
       STAT_DEBUG => open
       );
 
@@ -1005,11 +1043,17 @@ back_slave_ready_i <= BACK_SLAVE_READY;
            busdebug_tx.data(15 downto  0) <= pckr_Data_Source;
            busdebug_tx.data(31 downto 16) <= (others => '0');
          end if;
-         
+         if busdebug_rx.addr( 7 downto 0) = x"0C" then
+           busdebug_tx.data( 0) <= MUX_cal_sw;
+           busdebug_tx.data(31 downto  1) <= (others => '0');
+         end if;
          
        busdebug_tx.ack <= '1';
        elsif busdebug_rx.write = '1' then
        --additional_reg_i <= busdebug_rx.data(additional_reg_i'left downto 0);
+               if busdebug_rx.addr( 7 downto 0) = x"0C" then
+                       MUX_cal_sw      <= busdebug_rx.data(0);
+         end if;
        busdebug_tx.ack <= '1';
        end if;
        end process;