--- /dev/null
+# // Questa Sim
+# // Version 10.2c_5 linux Nov 19 2013
+# //
+# // Copyright 1991-2013 Mentor Graphics Corporation
+# // All Rights Reserved.
+# //
+# // THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
+# // WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
+# // LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+# //
+vlib work
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(25): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(25): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(27): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# ** Error: (vcom-11) Could not find work.txt_util.
+# ** Error: /home/adrian/TDC_v4/file_output.vhd(5): (vcom-1195) Cannot find expanded name "work.txt_util".
+# ** Error: /home/adrian/TDC_v4/file_output.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/file_output.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity input_env
+# -- Compiling architecture behavioral of input_env
+# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/LUT.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/LUT.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/LUT.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(4): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(4): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(6): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(5): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(5): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(7): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# ** Error: (vcom-11) Could not find work.trb_net_std.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(25): (vcom-1195) Cannot find expanded name "work.trb_net_std".
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(25): Unknown expanded name.
+# -- Loading package NUMERIC_STD
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(35): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Compiling package trb_net_std
+# -- Compiling package body trb_net_std
+# -- Loading package trb_net_std
+vcom -reportprogress 30 -work work /home/adrian/TDC_v4/txt_util.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling package txt_util
+# -- Compiling package body txt_util
+# -- Loading package txt_util
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# ** Error: (vcom-11) Could not find work.calibration.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(58): (vcom-1195) Cannot find expanded name "work.Calibration".
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(58): Unknown expanded name.
+# ** Error: (vcom-11) Could not find work.file_output.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(86): (vcom-1195) Cannot find expanded name "work.file_output".
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(86): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(153): VHDL Compiler exiting
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb
+# ** Note: (vsim-3812) Design is being optimized...
+# ** Error: Failed to find design unit work.sim_tb.
+# Optimization failed
+# Error loading design
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# ** Error: (vcom-11) Could not find work.read_cnt.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(161): (vcom-1195) Cannot find expanded name "work.read_cnt".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(161): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: (vcom-11) Could not find work.read_memory.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(206): (vcom-1195) Cannot find expanded name "work.read_Memory".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(206): Unknown expanded name.
+# -- Loading entity Memory
+# ** Error: (vcom-11) Could not find work.cal_limits.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(273): (vcom-1195) Cannot find expanded name "work.Cal_Limits".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(273): Unknown expanded name.
+# ** Error: (vcom-11) Could not find work.lut.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): (vcom-1195) Cannot find expanded name "work.LUT".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(400): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Compiling package trb_net_std
+# -- Compiling package body trb_net_std
+# -- Loading package trb_net_std
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/txt_util.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling package txt_util
+# -- Compiling package body txt_util
+# -- Loading package txt_util
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity input_env
+# -- Compiling architecture behavioral of input_env
+# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package txt_util
+# -- Compiling entity file_output
+# -- Compiling architecture log_to_file of file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: (vcom-11) Could not find work.lut.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): (vcom-1195) Cannot find expanded name "work.LUT".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(307): Unknown expanded name.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(400): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb
+# ** Note: (vsim-3812) Design is being optimized...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+add wave \
+sim:/sim_tb/Flag_Lim
+add wave -position insertpoint \
+sim:/sim_tb/DIN_i
+restart
+add wave -position insertpoint \
+sim:/sim_tb/DOUT_i
+add wave -position insertpoint \
+sim:/sim_tb/DIN_out_end
+add wave -position insertpoint \
+sim:/sim_tb/Fine
+restart
+add wave -position insertpoint \
+sim:/sim_tb/DIN_i.data
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+add wave -position insertpoint \
+sim:/sim_tb/CLK
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.sim_tb(behavioral)#1
+add wave -position insertpoint \
+sim:/sim_tb/CLK
+restart
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.sim_tb(behavioral)#1
+run
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+add wave -position end sim:/sim_tb/CLK
+add wave -position end sim:/sim_tb/DIN_i
+add wave -position end sim:/sim_tb/DOUT_i
+add wave -position end sim:/sim_tb/DIN_out_end
+add wave -position end sim:/sim_tb/Fine
+add wave -position end sim:/sim_tb/Delta
+add wave -position end sim:/sim_tb/min_Cal
+run
+run
+run
+run
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+add wave -position 1 sim:/sim_tb/DIN_i.data
+add wave -position 2 sim:/sim_tb/DOUT_i.data
+add wave -position end sim:/sim_tb/cal_cnt
+add wave -position end sim:/sim_tb/min_curr_dbg
+add wave -position end sim:/sim_tb/max_curr_dbg
+add wave -position end sim:/sim_tb/min_next_dbg
+add wave -position end sim:/sim_tb/max_next_dbg
+add wave -position end sim:/sim_tb/cal_cng_dbg
+add wave -position end sim:/sim_tb/read_next_dbg
+add wave -position end sim:/sim_tb/write_next_dbg
+add wave -position end sim:/sim_tb/Dflt_cnt_dbg
+add wave -position end sim:/sim_tb/Default_val_dbg
+add wave -position end sim:/sim_tb/write_curr_dbg
+add wave -position end sim:/sim_tb/FPGA_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+run
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 620 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 620 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 620 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(59): near "begin": expecting STRING or IDENTIFIER or << or '('
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(63): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(64): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): Target type (error) in variable assignment is different from expression type ieee.NUMERIC_STD.UNRESOLVED_UNSIGNED.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(65): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Bad expression in right operand of infix expression "and".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(66): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "a".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal concurrent statement.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(84): near "process": expecting ';'
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(82): near "if": expecting PROCESS
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(102): Cannot drive signal 'cal_cnt' of mode IN.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(102): Enumeration literal '0' is not of type ieee.NUMERIC_STD.UNSIGNED.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(88): near "write_dflt_cnt": expecting ';'
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(119): VHDL Compiler exiting
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(88): near "write_dflt_cnt": expecting ';'
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(117): Cannot read output "cal_cnt_out".
+# VHDL 2008 allows reading outputs.
+# This facility is enabled by compiling with -2008.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(117): Cannot read output "cal_cnt_out".
+# VHDL 2008 allows reading outputs.
+# This facility is enabled by compiling with -2008.
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(118): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(49): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# ** Error: /home/adrian/TDC_v4/read_Memory.vhd(37): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+run
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+add wave -position end sim:/sim_tb/wrt_cal_cnt_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/read_Memory
+# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(94): near "if": expecting PROCESS
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cnt_val has changed.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(192): (vopt-1035) Formal port "DIN_in_data_f" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(255): (vopt-1035) Formal port "DIN_data_f" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(272): (vopt-1035) Formal port "DIN_data_f" has OPEN or no actual associated with it.
+# Optimization failed
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(298): near "DIN_data": expecting ',' or ')'
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(308): Statement cannot be labeled.
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(436): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity read_Memory
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+# Break key hit
+vsim -voptargs=+acc work.sim_tb
+# vsim -voptargs=+acc work.sim_tb
+# ** Note: (vsim-3812) Design is being optimized...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Loading work.read_cnt(behavioral)#1
+# Loading work.cnt_val(behavioral)#1
+# Loading work.dflt_val(behavioral)#1
+# Loading work.read_memory(behavioral)#1
+# Loading work.memory(behavioral)#1
+# Loading work.cal_limits(behavioral)#1
+# Loading work.lut(lut)#1
+# Loading work.file_output(log_to_file)#1
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+# Optimization canceled
+add wave -position insertpoint \
+sim:/sim_tb/Calibration/LUTs/CLK
+add wave -position end sim:/sim_tb/Calibration/LUTs/DIN_in
+add wave -position end sim:/sim_tb/Calibration/LUTs/Delta
+add wave -position end sim:/sim_tb/Calibration/LUTs/min_in
+add wave -position end sim:/sim_tb/Calibration/LUTs/DIN_in
+add wave -position end sim:/sim_tb/Calibration/LUTs/max_in
+add wave -position end sim:/sim_tb/Calibration/LUTs/do_cal_in
+vsim -voptargs=+acc work.sim_tb
+# vsim work.sim_tb
+# ** Note: (vsim-3812) Design is being optimized...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+add wave -position insertpoint \
+sim:/sim_tb/CLK
+add wave -position end sim:/sim_tb/DIN_i.data
+add wave -position end sim:/sim_tb/Default_val_dbg
+add wave -position end sim:/sim_tb/Dflt_cnt_dbg
+add wave -position end sim:/sim_tb/FPGA_dbg
+add wave -position end sim:/sim_tb/Fine
+add wave -position end sim:/sim_tb/cal_cnt
+add wave -position end sim:/sim_tb/cal_cng_dbg
+add wave -position end sim:/sim_tb/wrt_cal_cnt_dbg
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+run
+# Cannot continue because of fatal error.
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+# Can't move the Now cursor.
+add wave -position end sim:/sim_tb/max_curr_dbg
+add wave -position end sim:/sim_tb/max_next_dbg
+add wave -position end sim:/sim_tb/min_curr_dbg
+add wave -position end sim:/sim_tb/min_next_dbg
+add wave -position end sim:/sim_tb/read_next_dbg
+add wave -position end sim:/sim_tb/write_curr_dbg
+add wave -position end sim:/sim_tb/write_next_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 5 sim:/sim_tb/min_Cal
+add wave -position 3 sim:/sim_tb/Delta
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 2 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(34): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(34): (vcom-1136) Unknown identifier "chnl".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(36): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(36): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Warning: /home/adrian/TDC_v4/compare_old.vhd(38): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): (vcom-1136) Unknown identifier "Do_Cal".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(41): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(41): (vcom-1136) Unknown identifier "Do_Cal".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(45): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(45): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(46): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(46): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(47): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(47): (vcom-1136) Unknown identifier "Do_Cal".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(56): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): No feasible entries for infix operator "=".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Bad expression in left operand of infix expression "and".
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(35): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(39): Signal "FPGA_in" is type ieee.std_logic_1164.STD_LOGIC; expecting type ieee.std_logic_1164.STD_LOGIC_VECTOR.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(48): Signal "FPGA_in" is type ieee.std_logic_1164.STD_LOGIC; expecting type ieee.std_logic_1164.STD_LOGIC_VECTOR.
+# ** Error: /home/adrian/TDC_v4/compare_old.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(74): Target of signal assignment is not a signal.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(75): Target of signal assignment is not a signal.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): Signal "Min" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): Signal "Max" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(96): near "if": expecting ';'
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): Signal "Min" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(82): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): Signal "Max" is type ieee.std_logic_1164.STD_LOGIC_VECTOR; expecting type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(86): near "!": expecting GENERATE or THEN
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(96): near "if": expecting ';'
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(64): Variable declaration 'Max_var' not allowed in this region.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(65): Variable declaration 'Min_var' not allowed in this region.
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(99): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(70): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(76): (vcom-1136) Unknown identifier "cal_cnt_i".
+# ** Error: /home/adrian/TDC_v4/cnt_val.vhd(91): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(146): No feasible entries for infix operator "/=".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(146): Type error resolving infix expression "/=" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(229): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+# ** Error: /home/adrian/TDC_v4/default_val.vhd(60): near "end": expecting ';'
+# ** Error: /home/adrian/TDC_v4/default_val.vhd(67): near "process": expecting IF
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(60): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# ** Error: /home/adrian/TDC_v4/Memory.vhd(60): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(21): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(21): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(43): (vcom-1136) Unknown identifier "read_cal_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): Illegal target for signal assignment.
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(44): (vcom-1136) Unknown identifier "read_dflt_cnt".
+# ** Error: /home/adrian/TDC_v4/read_cnt.vhd(54): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# ** Error: (vcom-11) Could not find work.compare_old.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# ** Error: (vcom-11) Could not find work.compare_old.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# ** Error: (vcom-11) Could not find work.compare_old.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): (vcom-1195) Cannot find expanded name "work.compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(211): Unknown expanded name.
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(263): (vcom-1484) Unknown formal identifier "dflt".
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(315): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): near "DIN_out_b_r__compare": Identifier may not contain adjacent underlines.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(343): (vcom-1136) Unknown identifier "DIN_out_b_r__compare_old".
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(393): (vcom-1031) Formal generic "cal_Limit" has OPEN or no actual associated with it.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(489): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(10): near ")": expecting FUNCTION or PROCEDURE or IMPURE or PURE
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(10): near ")": expecting FUNCTION or PROCEDURE or IMPURE or PURE
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "CLK" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_b_r" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_data_w" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "DIN_in_data_f" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "min_curr_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "max_curr_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "min_next_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "max_next_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "FPGA" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "chnl" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "Do_Cal_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "default_val_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "chnl_cnt_in" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(359): (vcom-1035) Formal port "new_data" has OPEN or no actual associated with it.
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(360): near "port": syntax error
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(492): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# Error: Save operation was not successful.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(50): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(72): (vcom-1136) Unknown identifier "cal_cnt".
+# -- Loading package txt_util
+# -- Loading entity file_output
+# ** Error: /home/adrian/TDC_v4/sim_tb.vhd(155): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(51): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(51): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 760 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/cnt_i
+restart
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 760 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/cal_cng_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+# ** Warning: (vsim-8683) Uninitialized out port /sim_tb/Calibration/Dflt_cnt_dbg has no driver.
+# This port will contribute value (U) to the signal network.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 760 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/cal_cng_dbg'.
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Dflt_cnt_dbg'.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 760 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 760 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+# Causality operation skipped due to absence of debug database file
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Default_val/*
+# (vish-4014) No objects found matching 'sim:/sim_tb/Calibration/Cal_Limits/Default_val/*'.
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Default_val/*
+# (vish-4014) No objects found matching 'sim:/sim_tb/Calibration/Cal_Limits/Default_val/*'.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 940 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 960 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 960 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 980 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 980 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 1180 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 940 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 960 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 960 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 980 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 980 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 1180 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 520 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 540 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 560 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 580 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 600 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 620 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 640 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 660 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 680 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 700 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 720 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+# ** Warning: /home/adrian/TDC_v4/read_cnt.vhd(36): (vcom-1083) Implicit array operator "=" always returns FALSE (left length 10 is not equal to right length 12).
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# ** Warning: [4] /home/adrian/TDC_v4/sim_tb.vhd(52): (vcom-1207) An abstract literal and an identifier must have a separator between them.
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(444): (vcom-1136) Unknown identifier "FPGA_compare_old".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(460): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/min_next_i
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/max_next_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/min_curr_i
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/max_curr_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 256 is out of range 436 to 563.
+# Time: 480 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 256 is out of range 436 to 563.
+# Time: 480 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end sim:/sim_tb/Calibration/max_out_LUT
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end sim:/sim_tb/Calibration/write_dflt_cnt
+run
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end sim:/sim_tb/Calibration/Default_val_Mem
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end sim:/sim_tb/Calibration/Mem_next/new_data
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position end sim:/sim_tb/Calibration/ent_dflt_val/dflt_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(58): near ";": syntax error
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(59): near ";": syntax error
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(247): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_dflt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/write_dflt_cnt'.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/txt_util.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling package txt_util
+# -- Compiling package body txt_util
+# -- Loading package txt_util
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/trb_net_std.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Compiling package trb_net_std
+# -- Compiling package body trb_net_std
+# -- Loading package trb_net_std
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/input_env.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity input_env
+# -- Compiling architecture behavioral of input_env
+# ** Warning: /home/adrian/TDC_v4/input_env.vhd(23): (vcom-1194) FILE declaration was written using VHDL 1987 syntax.
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/file_output.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package txt_util
+# -- Compiling entity file_output
+# -- Compiling architecture log_to_file of file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Delta.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Compiling entity Delta
+# ** Error: /home/adrian/TDC_v4/Delta.vhd(35): near ")": expecting IDENTIFIER
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+add wave -position end sim:/sim_tb/write_dflt_cnt_dbg
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+add wave -position end sim:/sim_tb/Calibration/FPGA_Lim
+add wave -position end sim:/sim_tb/Calibration/FPGA_out_compare_old
+add wave -position end sim:/sim_tb/Calibration/chnl_Lim
+add wave -position end sim:/sim_tb/Calibration/CHNL_out_compare_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 460 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 480 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 500 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 400 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+add wave -position 5 sim:/sim_tb/Calibration/Cal_Limits/max_out
+add wave -position 6 sim:/sim_tb/Calibration/Cal_Limits/min_out
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+add wave -position 16 sim:/sim_tb/Calibration/write_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 740 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 760 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 780 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 800 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position 26 sim:/sim_tb/Calibration/do_cal_LIM
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+add wave -position 26 sim:/sim_tb/Calibration/Do_Cal_Memory
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# ** Warning: (vopt-13) Recompile work.calibration(behavioral) because work.cal_limits has changed.
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 720 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/max_out
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/min_out
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/Delta_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 720 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Default_val_Mem
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/new_data_Memory
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/max_curr_in
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/min_curr_in
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Cal_Limits/chnl
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Mem_curr/Min
+add wave -position end sim:/sim_tb/Calibration/Mem_curr/Max
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/compare_old.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity compare_old
+# -- Compiling architecture Behavioral of compare_old
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/LUT.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity LUT
+# -- Compiling architecture lut of LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_cnt.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_cnt
+# -- Compiling architecture Behavioral of read_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/read_Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity read_Memory
+# -- Compiling architecture Behavioral of read_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position end sim:/sim_tb/Calibration/Mem_curr/chnl_read
+add wave -position end sim:/sim_tb/Calibration/Mem_curr/chnl_write
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 440 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 480 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
+# Time: 480 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 70 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 640 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 640 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end sim:/sim_tb/Calibration/cal_cnt_out
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt_out
+add wave -position end sim:/sim_tb/Calibration/cal_cnt_out
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 640 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+add wave -position end sim:/sim_tb/Calibration/ent_cnt_val/chnl_write
+add wave -position end sim:/sim_tb/Calibration/write_chnl_cnt
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(82): near "chnl_out": expecting ';'
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(257): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/cnt_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity cnt_val
+# -- Compiling architecture Behavioral of cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 200 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 37 sim:/sim_tb/Calibration/min_next_Lim
+add wave -position 38 sim:/sim_tb/Calibration/max_next_Lim
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 385 is out of range 436 to 563.
+# Time: 540 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 560 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 160 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 720 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 25 sim:/sim_tb/Calibration/Cal_Limits/FPGA
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 40 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 60 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 80 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 67 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 31 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 480 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 68 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 66 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 66 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 31 sim:/sim_tb/Calibration/Mem_next/Max
+add wave -position 32 sim:/sim_tb/Calibration/Mem_next/Min
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 18 sim:/sim_tb/Calibration/Cal_Limits/min_next_in
+add wave -position 19 sim:/sim_tb/Calibration/Cal_Limits/max_next_in
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 240 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 260 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 32 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 31 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+# Time: 600 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 280 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+# Time: 600 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 5 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 26 sim:/sim_tb/Calibration/Cal_Limits/cnt_ii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 27 sim:/sim_tb/Calibration/Cal_Limits/min_next_in
+add wave -position 28 sim:/sim_tb/Calibration/Cal_Limits/max_next_in
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(298): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(337): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 8 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 8 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 27 sim:/sim_tb/Calibration/Cal_Limits/chnl_ii
+add wave -position 26 sim:/sim_tb/Calibration/Cal_Limits/chnl
+add wave -position 28 sim:/sim_tb/Calibration/Cal_Limits/chnl_i
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 380 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+# Time: 420 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 7 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 9 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 38 sim:/sim_tb/Calibration/Mem_next/Max_out
+add wave -position 39 sim:/sim_tb/Calibration/Mem_next/Min_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): No feasible entries for infix operator "=".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): Bad expression in right operand of infix expression "and".
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(274): Type error resolving infix expression "and" as type std.STANDARD.BOOLEAN.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(338): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 16 sim:/sim_tb/Calibration/read_compare_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 43 sim:/sim_tb/Calibration/Mem_curr/Max_out
+add wave -position 44 sim:/sim_tb/Calibration/Mem_curr/Min_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
+# Time: 220 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 45 sim:/sim_tb/Calibration/Do_Cal_Memory
+add wave -position 45 sim:/sim_tb/Calibration/Do_Cal_compare_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
+# Time: 220 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 340 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 46 sim:/sim_tb/Calibration/FPGA_out_compare_old
+add wave -position 47 sim:/sim_tb/Calibration/FPGA_Lim
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 19 sim:/sim_tb/Calibration/Cal_Limits/chnl_ii
+add wave -position 19 sim:/sim_tb/Calibration/Cal_Limits/cnt_ii
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(198): near "if": expecting ';'
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(204): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(212): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(212): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(216): near "process": expecting IF
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(221): Illegal sequential statement.
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(343): near "Behavioral": expecting IF
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 560 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 220 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 280 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 300 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 320 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 340 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 360 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 380 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 420 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 440 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 37 is out of range 436 to 563.
+# Time: 560 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(199): near "max_next_i": expecting THEN
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(219): near "if": expecting PROCESS
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 180 ns Iteration: 1 Instance: /sim_tb/Calibration/Cal_Limits
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 360 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 69 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 37 is out of range 436 to 563.
+# Time: 340 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 1 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(329): near "else": expecting END
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(373): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 64 is out of range 436 to 563.
+# Time: 760 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 18 sim:/sim_tb/Calibration/Do_Cal_Memory
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 28 sim:/sim_tb/Calibration/Cal_Limits/use_old
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/default_val.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity dflt_val
+# -- Compiling architecture Behavioral of dflt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(367): near ")": syntax error
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(369): Statement cannot be labeled.
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(464): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+add wave -position 30 sim:/sim_tb/Calibration/Cal_Limits/FPGA_out
+add wave -position 32 sim:/sim_tb/Calibration/Cal_Limits/chnl_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(370): (vcom-1484) Unknown formal identifier "chnl_out_write".
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(371): (vcom-1484) Unknown formal identifier "FPGA_out_write".
+# -- Loading entity LUT
+# ** Error: /home/adrian/TDC_v4/Calibration.vhd(469): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 100 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 62 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 21 sim:/sim_tb/Calibration/Cal_Limits/cnt_iii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 24 sim:/sim_tb/Calibration/Cal_Limits/max_next_iii
+add wave -position 24 sim:/sim_tb/Calibration/Cal_Limits/max_next_ii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 24 sim:/sim_tb/Calibration/Cal_Limits/min_curr_ii
+add wave -position 26 sim:/sim_tb/Calibration/Cal_Limits/min_curr_iii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 24 sim:/sim_tb/Calibration/Cal_Limits/min_next_ii
+add wave -position 26 sim:/sim_tb/Calibration/Cal_Limits/min_next_iii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 65 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/min_next_iii'.
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/max_next_iii'.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 4 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+# Warning in wave window restart: (vish-4014) No objects found matching '/sim_tb/Calibration/Cal_Limits/cnt_iii'.
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 27 sim:/sim_tb/Calibration/Cal_Limits/max_curr_ii
+add wave -position 27 sim:/sim_tb/Calibration/Cal_Limits/min_curr_ii
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 52 sim:/sim_tb/Calibration/Mem_curr/Min_out
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Memory.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Compiling entity Memory
+# -- Compiling architecture Behavioral of Memory
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 60 sim:/sim_tb/Calibration/Cal_Limits/chnl_out_write
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 700 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 660 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 11 is out of range 436 to 563.
+# Time: 660 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 12 is out of range 436 to 563.
+# Time: 680 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 220 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 220 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 240 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 260 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+step
+# Cannot continue because of fatal error.
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/Cal_Limits.vhd 164 Process Mem_next
+#
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+# Error: invalid command name "::.main_pane.dataflow.interior.cs.body.pw.df.c"
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+add wave -position 41 sim:/sim_tb/Calibration/ent_cnt_val/cal_cnt
+add wave -position 42 sim:/sim_tb/Calibration/ent_cnt_val/FPGA_read
+add wave -position 43 sim:/sim_tb/Calibration/ent_cnt_val/FPGA_write
+add wave -position 44 sim:/sim_tb/Calibration/ent_cnt_val/chnl_read
+add wave -position 45 sim:/sim_tb/Calibration/ent_cnt_val/chnl_write
+add wave -position 46 sim:/sim_tb/Calibration/write_chnl_cnt
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(93): near ":": expecting ';'
+# ** Error: /home/adrian/TDC_v4/Cal_Limits.vhd(380): VHDL Compiler exiting
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+restart
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 140 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_curr
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Fatal: (vsim-3421) Value 33 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Calibration.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Calibration
+# -- Compiling architecture Behavioral of Calibration
+# -- Loading entity read_cnt
+# -- Loading entity compare_old
+# -- Loading entity cnt_val
+# -- Loading entity dflt_val
+# -- Loading entity Memory
+# -- Loading entity Cal_Limits
+# -- Loading entity LUT
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 6 is out of range 436 to 563.
+# Time: 400 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Fatal: (vsim-3421) Value 3 is out of range 436 to 563.
+# Time: 300 ns Iteration: 1 Process: /sim_tb/Calibration/LUTs/proc_slope File: /home/adrian/TDC_v4/LUT.vhd
+# Fatal error in Process proc_slope at /home/adrian/TDC_v4/LUT.vhd line 86
+#
+# HDL call sequence:
+# Stopped at /home/adrian/TDC_v4/LUT.vhd 86 Process proc_slope
+#
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/sim_tb.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Loading package NUMERIC_STD
+# -- Compiling entity sim_tb
+# -- Compiling architecture Behavioral of sim_tb
+# -- Loading entity Calibration
+# -- Loading package txt_util
+# -- Loading entity file_output
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+vcom -reportprogress 300 -work work /home/adrian/TDC_v4/Cal_Limits.vhd
+# QuestaSim vcom 10.2c_5 Compiler 2013.11 Nov 19 2013
+# -- Loading package STANDARD
+# -- Loading package TEXTIO
+# -- Loading package std_logic_1164
+# -- Loading package NUMERIC_STD
+# -- Loading package std_logic_arith
+# -- Loading package STD_LOGIC_UNSIGNED
+# -- Loading package trb_net_std
+# -- Compiling entity Cal_Limits
+# -- Compiling architecture Behavioral of Cal_Limits
+restart
+# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
+# Loading std.standard
+# Loading std.textio(body)
+# Loading ieee.std_logic_1164(body)
+# Loading ieee.std_logic_arith(body)
+# Loading ieee.std_logic_unsigned(body)
+# Loading work.trb_net_std(body)
+# Loading ieee.numeric_std(body)
+# Loading work.txt_util(body)
+# Loading work.sim_tb(behavioral)#1
+# Loading work.calibration(behavioral)#1
+run
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 0 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE
+# Time: 20 ns Iteration: 1 Instance: /sim_tb/Calibration/LUTs
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/Mem_next
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val
+# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
+# Time: 120 ns Iteration: 1 Instance: /sim_tb/Calibration/ent_cnt_val