use work.trb_net_gbe_protocols.all;
-entity gbe_wrapper_single is
+entity gbe_wrapper is
generic(
DO_SIMULATION : integer range 0 to 1 := 0;
INCLUDE_DEBUG : integer range 0 to 1 := 0;
STATUS_OUT : out std_logic_vector(15 downto 0);
DEBUG_OUT : out std_logic_vector(127 downto 0)
);
-end entity gbe_wrapper_single;
+end entity gbe_wrapper;
-architecture RTL of gbe_wrapper_single is
+architecture RTL of gbe_wrapper is
signal mac_ready_conf : std_logic;
signal mac_reconf : std_logic;
signal mac_an_ready : std_logic;
GSC_INIT_DATA_OUT <= (others => '0');
GSC_INIT_PACKET_NUM_OUT <= (others => '0');
GSC_REPLY_READ_OUT <= '1';
- mlt_gsc_clk <= (others => '0');
- mlt_gsc_init_read <= (others => '0');
- mlt_gsc_reply_dataready <= (others => '0');
+ mlt_gsc_clk <= '0';
+ mlt_gsc_init_read <= '0';
+ mlt_gsc_reply_dataready <= '0';
mlt_gsc_reply_data <= (others => '0');
mlt_gsc_reply_packet <= (others => '0');
- mlt_gsc_busy <= (others => '0');
+ mlt_gsc_busy <= '0';
end generate NOSCTRL_MAP_GEN;
SCTRL_MAP_GEN : if (LINK_HAS_SLOWCTRL /= "0000") generate