TEST_LINE : out std_logic_vector(15 downto 0)
);
-
- attribute syn_useioff : boolean;
- attribute syn_useioff of FLASH_CLK : signal is true;
- attribute syn_useioff of FLASH_CS : signal is true;
- attribute syn_useioff of FLASH_IN : signal is true;
- attribute syn_useioff of FLASH_OUT : signal is true;
-
end entity;
architecture trb3sc_gbe_hub_arch of trb3sc_gbe_hub is
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
+ attribute syn_useioff : boolean;
signal clk_sys : std_logic;
signal clear_i : std_logic;
signal flash_miso_i : std_logic;
signal flash_mosi_i : std_logic;
+ attribute syn_useioff of FLASH_CLK : signal is true;
+ attribute syn_useioff of FLASH_CS : signal is true;
+ attribute syn_useioff of FLASH_IN : signal is true;
+ attribute syn_useioff of FLASH_OUT : signal is true;
+
signal common_stat_reg : std_logic_vector(std_COMSTATREG*32-1 downto 0) := (others => '0');
signal common_ctrl_reg : std_logic_vector(std_COMCTRLREG*32-1 downto 0);
-- 8 : fifo_eof
-- 7..0: data
- DBG(31 downto 0) <= debug_wrapper(31 downto 0);
+ THE_LA_SIGNALS: entity odr_dbg
+ port map(
+ CLK => master_clk,
+ CLKOUT => open,
+ RESET => '0',
+ D => debug_wrapper(31 downto 0),
+ DOUT => DBG(31 downto 0)
+ );
+
+-- THE_LA_CLK: entity ddr_out
+-- port map(
+-- CLK => master_clk,
+-- CLKOUT => open,
+-- DA => (others => '1'),
+-- DB => (others => '0'),
+-- Q => DBG(33 downto 33)
+-- );
+
+-- DBG(31 downto 0) <= debug_wrapper(31 downto 0);
DBG(32) <= '0';
DBG(33) <= master_clk;
LED_SFP_GREEN(1) <= not status_raw(13 * 8 + 7); --'0'; -- D1
LED_SFP_RED(1) <= not status_raw(13 * 8 + 2); --'0';
- LED_WHITE(1) <= not debug_wrapper(31); --oob_3_reg(0); --'0';
+ LED_WHITE(1) <= not oob_3_reg(0); --'0';
LED_WHITE(0) <= not status(0); --'0';
LED_RJ_GREEN(0) <= not status_raw(0 * 8 + 7); -- A0