USE_ONEWIRE : integer range 0 to 2 := c_YES;
BROADCAST_SPECIAL_ADDR : std_logic_vector(7 downto 0) := x"FF";
--media interfaces
- MII_NUMBER : integer range 2 to c_MAX_MII_PER_HUB := 12;
+ MII_NUMBER : integer range 2 to c_MAX_MII_PER_HUB := 5;
MII_IS_UPLINK : hub_mii_config_t := (others => c_YES);
MII_IS_DOWNLINK : hub_mii_config_t := (others => c_YES);
MII_IS_UPLINK_ONLY : hub_mii_config_t := (others => c_NO)
---------------------------------------------------------------------
-- I/O Buffers
---------------------------------------------------------------------
-
+--iobuf towards CTS, lvl1 channel
THE_IOBUF_0 : trb_net16_iobuf
generic map(
IBUF_DEPTH => 6,
USE_ACKNOWLEDGE => cfg_USE_ACKNOWLEDGE(0),
USE_CHECKSUM => cfg_USE_CHECKSUM(0),
- INIT_CAN_SEND_DATA => c_YES,
+ INIT_CAN_SEND_DATA => c_NO,
INIT_CAN_RECEIVE_DATA => c_YES,
REPLY_CAN_SEND_DATA => c_YES,
- REPLY_CAN_RECEIVE_DATA => c_YES
+ REPLY_CAN_RECEIVE_DATA => c_NO
)
port map(
-- Misc
TIMER_TICKS_IN => timer_ticks
);
-
+--iobuf on streaming api, towards CTS, data channel
THE_IOBUF_1 : trb_net16_iobuf
generic map(
IBUF_DEPTH => 6,
USE_ACKNOWLEDGE => cfg_USE_ACKNOWLEDGE(1),
USE_CHECKSUM => cfg_USE_CHECKSUM(1),
- INIT_CAN_SEND_DATA => c_YES,
+ INIT_CAN_SEND_DATA => c_NO,
INIT_CAN_RECEIVE_DATA => c_YES,
REPLY_CAN_SEND_DATA => c_YES,
- REPLY_CAN_RECEIVE_DATA => c_YES
+ REPLY_CAN_RECEIVE_DATA => c_NO
)
port map(
-- Misc
TIMER_TICKS_IN => timer_ticks
);
+--who cares about an unused channel?
THE_IOBUF_2 : trb_net16_term_buf
port map (
-- Misc
MED_READ_OUT => io_read_out(2)
);
+--iobuf towards CTS, slow control channel
THE_IOBUF_3 : trb_net16_iobuf
generic map(
IBUF_DEPTH => 6,
USE_ACKNOWLEDGE => cfg_USE_ACKNOWLEDGE(3),
USE_CHECKSUM => cfg_USE_CHECKSUM(3),
- INIT_CAN_SEND_DATA => c_YES,
- INIT_CAN_RECEIVE_DATA => c_YES,
- REPLY_CAN_SEND_DATA => c_YES,
- REPLY_CAN_RECEIVE_DATA => c_YES
+ INIT_CAN_SEND_DATA => MII_IS_DOWNLINK(MII_NUMBER),
+ INIT_CAN_RECEIVE_DATA => MII_IS_UPLINK(MII_NUMBER),
+ REPLY_CAN_SEND_DATA => MII_IS_UPLINK(MII_NUMBER),
+ REPLY_CAN_RECEIVE_DATA => MII_IS_DOWNLINK(MII_NUMBER)
)
port map(
-- Misc